Brought to you by:
Topical Review The following article is Open access

The 2017 Plasma Roadmap: Low temperature plasma science and technology

, , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , and

Published 14 July 2017 © 2017 IOP Publishing Ltd
, , Citation I Adamovich et al 2017 J. Phys. D: Appl. Phys. 50 323001 DOI 10.1088/1361-6463/aa76f5

0022-3727/50/32/323001

Abstract

Journal of Physics D: Applied Physics published the first Plasma Roadmap in 2012 consisting of the individual perspectives of 16 leading experts in the various sub-fields of low temperature plasma science and technology. The 2017 Plasma Roadmap is the first update of a planned series of periodic updates of the Plasma Roadmap. The continuously growing interdisciplinary nature of the low temperature plasma field and its equally broad range of applications are making it increasingly difficult to identify major challenges that encompass all of the many sub-fields and applications. This intellectual diversity is ultimately a strength of the field. The current state of the art for the 19 sub-fields addressed in this roadmap demonstrates the enviable track record of the low temperature plasma field in the development of plasmas as an enabling technology for a vast range of technologies that underpin our modern society. At the same time, the many important scientific and technological challenges shared in this roadmap show that the path forward is not only scientifically rich but has the potential to make wide and far reaching contributions to many societal challenges.

Export citation and abstract BibTeX RIS

Original content from this work may be used under the terms of the Creative Commons Attribution 3.0 licence. Any further distribution of this work must maintain attribution to the author(s) and the title of the work, journal citation and DOI.

Introduction

Journal of Physics D: Applied Physics published the first Plasma Roadmap in 2012 [1]. This first-of-its-kind Roadmap shared the individual perspectives of 16 leading experts in the various sub-fields of low-temperature plasma science and technology. The intent of the Roadmap was on one hand to provide insights to research needs and opportunities in the complex multidisciplinary research field of low temperature plasmas. On the other hand, the intent was also to establish a respected and research community driven reference to guide decisions on investments in the field. The 2012 Plasma Roadmap has been impactful in meeting these goals and was recently selected for IOP Publishing's Special Edition of 50 years of JPhys series as one of the most influential papers of this era [2]. Based on this success, the editors and editorial board decided to periodically update the Roadmap, and this 2017 Plasma Roadmap is the first such update. The format of the Roadmap remains the same, although an important change from the 2012 edition is that each section is now written by two authors to stimulate discussions on the subtopic with the intent to provide broader perspectives.

Low temperature plasmas (LTP), ionized gas (or sometimes liquid), represent a unique state of matter composed of neutral atoms and molecules, radicals, excited states, ions and electrons. Low temperature plasmas, the topic of this Roadmap, have characteristic electron energies of a few eV to 10 eV with ionization degrees that are typically small, but can reach tens of percent in arc discharges. These energetic electrons can efficiently generate radicals, charged species, excited states and photons. Space charge sheaths at the boundary of plasmas, particularly at low pressure, accelerate and deliver fluxes of ions to surfaces with adjustable energies ranging from a few to hundreds of eV. These ion fluxes enable surface modification by sputtering, etching, activation and deposition that are essential to technological devices ranging from the etching and deposition of materials in microelectronics fabrication to medical implants.

While many successful industrial applications of plasma are based on arc, microwave and inductively coupled plasma discharges that operate close to thermal equilibrium [3, 4], the majority of low temperature plasmas significantly deviate from thermodynamic equilibrium, with the electron temperature Te being much higher than the heavy particle temperature and gas temperature Tg. LTP sources can produce a chemically rich environment at close to room temperature both at reduced and at ambient pressures, a unique condition that enables the delivery of highly reactive plasma species in a non-destructive and beneficial way to even extremely heat sensitive surfaces. For example, the entire microelectronics industry that forms the technological base of modern society is enabled by the beneficial plasma–surface interactions which deposit and remove materials with nanometer resolution in the fabrication of microprocessors [5]. This beneficial contact with surfaces now extends to liquids, organic tissues and wounds, which led to the emerging field of plasma medicine [6]. LTPs may also non-destructively and beneficially interact with surfaces internal to the plasma, such as in a particle or aerosol-laden dusty plasma which enabled, for example, nanomaterial synthesis [7]. LTPs can also be generated and sustained within liquids and bubbles in liquids, now being investigated for chemical processing, medical applications and in the context of environmental stewardship [8]. These are just a few examples that illustrate the extraordinary societal benefit of low temperature plasmas.

The field of low temperature plasmas is exceptionally interdisciplinary with grand-challenge level scientific questions that have a dynamic range that is perhaps greater than any other field of physical science. The LTP discipline brings together many different research fields, such as electrodynamics, fluid dynamics, heat transfer, statistical physics, thermodynamics, atomic and molecular physics, material and surface science, chemistry, chemical engineering, electrical engineering, and recently even biology and medicine. While the field is extremely diverse in its applications and related science, common to all subfields is the requirement to control and understand non-equilibrium plasma kinetics and the interactions of plasmas with matter.

To capture the evolution of the field, the topics discussed in the 2017 Plasma Roadmap somewhat differ from the prior edition. For example, the topic of 'Plasma Agriculture and Innovative Food Cycles' has been added, a field which has recently emerged from the growing plasma medicine community. We added the sections 'Plasmas in Analytical Chemistry' and 'Plasma Metamaterials and Plasma Photonic Crystals' in recognition of the research activity in these areas. In addition to adding sections, we also reorganized sections to capture the important topics of plasmas in the areas of energy, flow control and material processing and synthesis. While we do not have a separate section on plasma catalysis, this topic is of growing interest for the plasma community and is covered in the sections related to environment and energy.

In addition to the application motivated sections, we added several sections dealing with fundamental plasma science, including the important topics of transport in plasmas and plasma theory. Many fundamental questions in LTP science remain unsolved. Examples of these questions include the dominant mode of energy transfer and chemical reaction processes in transient plasmas, the mechanisms and origins of the formation of complex self-organizing structures in plasmas and the physical and chemical interaction of plasmas with materials and liquids. In recognition of its importance in the development of accurate models and predictive based modeling tools, we also included a section titled 'Plasma Chemistry: Mechanisms, Validation and Distribution'

While the scientific and technological advances highlighted in the Roadmap are testimony to the innovativeness of our field, the number of research groups working in more fundamental areas that enable these advances is decreasing. There are many root causes for this trend, one being that funding is increasingly being focused on applications with there being less investment in developing the fundamental plasma models, computational techniques and algorithms and diagnostics needed to support the application driven advancements of the field. If this trend continues unabated, the health of the low temperature plasma field is at risk. The enviable track record of the low temperature plasma field in the development of plasmas as an enabling technology for a vast range of technologies shows that the support of fundamental research in the past has paid off.

The field of low temperature plasmas depends on nurturing and supporting new generations of scientists and engineers involved in plasma science, modeling and diagnostics. The training of this next generation of scientists and engineers in the fundamentals of plasma science becomes an increasingly challenging task particularly in view of the growing interdisciplinary nature of the field. As the field moves forward and the technological advances emerging from the field continue to provide societal benefit, we should also continue to make investments in the fundamentals of plasma science that underpin this technological advancement, and enable the career advancement of the next generation.

Peter J Bruggeman, Uwe Czarnetzki and Mark J Kushner

Editors of the 2017 Plasma Roadmap

The participation of editors P J Bruggeman and M J Kushner in developing the Roadmap was supported by the US Department of Energy (DE-SC0001319, DE-SC0016053) and the US National Science Foundation (PHY-1519117, CHE-1124724)

1. New plasma sources and regimes

J Gary Eden1 and Kazuo Terashima2

1 University of Illinois

2 The University of Tokyo

Status.

Throughout the history of plasma science and technology, rapid advances in the field have often been preceded by the introduction of new sources, such as atmospheric-pressure low temperature plasmas, or novel applications, such as plasma medicine. The flow of plasma science research that is triggered by the availability of new plasma sources is illustrated in figure 1. Advances in plasmas sources in the spatial scale (such as the 1–1000 µm domain of microplasmas) or the temporal scale (sub-50 ns electrical or optical excitation) invariably lead to unforeseen plasma science and applications. Recently, studies of microplasmas and short time-scale plasmas, e.g. highly transitory plasmas, have opened new areas of research by expanding the reach of plasma science into previously unexplored media, including plasmas in liquids (discussed elsewhere in this Roadmap), and by providing access to the interface between a plasma and a liquid or a solid. Among the transitory plasmas, nanosecond pulsed discharges have been intensively studied and rapidly developed. They have been shown to efficiently couple energy into the plasma, thus making such plasmas valuable for a wide range of applications, including aerodynamics, combustion, and nanomaterials synthesis [9]. This section focuses on microplasmas and plasmas in supercritical fluids (SCFs) as two promising novel plasma sources and regions of parameter space in which to expand the frontiers of plasma science and technology.

Figure 1.

Figure 1. Diagram illustrating the flow from novel plasma sources to new plasma science and technologies.

Standard image High-resolution image

Current and future challenges.

In the five years since the first Roadmap, microplasma science and technology has advanced quickly along several lines, and the first devices and systems have been commercialized. Of particular interest is the considerable improvement in the capabilities of microcavity plasmas driven at microwave frequencies that has been achieved. Arrays of microplasmas, each integrated with a dedicated resonator and capable of being switched independently, have been realized and characterized [10, 11]. In combination with the ability to produce electron densities of 1014 cm−3, the characteristics of microstrip-driven microplasmas make them attractive for several purposes, including the production of the metastable rare gas atoms required for an optically-pumped laser [12]. It is also clear that the electromagnetic applications of single microplasmas and arrays, are quite broad and are expanding rapidly [13, 14].

Another significant development of the past several years is the introduction of the first microplasma-based commercial products. Although ozone generators employing localized microdischarges distributed randomly in time and space have been available for decades, the commercialization of reproducible and spatially-uniform, low temperature plasmas generated in cavities is of recent origin. Plasma jets, generated in air or argon and driven at 2.45 GHz, are now offered at power levels from 5 to 400 W for applications ranging from surface cleaning and medical therapeutics at low power, to cutting and coating processes for P  >  100 W. A second example is the realization of planar, high power vacuum ultraviolet (VUV)/UV lamps. For example, by interlacing two or more arrays of microcavity plasmas, 25 W of average power and more than 800 W of peak power can be generated at 172 nm from thin, flat lamps with a surface area of 10  ×  10 cm2 [15]. Microchannel plasma systems for disinfecting water with ozone have also been commercialized.

Moreover, the flexibility inherent in generating microplasmas and/or transitory plasmas in different environments has led to the realization and development of other novel plasma sources with extraordinary properties, such as plasmas in liquids. Among these are plasmas produced directly in SCFs, or the hybrid SCF-plasma [16]. Both are of considerable interest because, in both cases, a plasma exists in a high-density medium that has not been previously explored. The unique properties of SCFs, including molecular clustering and density fluctuations, are ideal for combining them with the well-known reactivity of plasmas to yield a highly-reactive medium that is ideally suited for the synthesis of new nanomaterials. Thus far, the use of SCF plasmas has enabled the synthesis of, for example, novel molecular diamonds.

Despite these advances, much of the physics underlying plasma formation and sustenance of SCF plasmas remains unknown, and frontiers in plasma size, neutral and electron number densities, and plasma–material interactions remain to be explored.

Advances in science and technology required to meet challenges.

Although much has been accomplished in the past five years, microplasma science remains in the early stages and several fundamental research challenges lie ahead. One of these is the 1 µm barrier in the dimensions of the plasma. An electrically-driven microplasma encompassed by a dielectric cavity having at least one interior dimension of 1 µm or less would provide the opportunity to observe quantum phenomena and, possibly, the onset of behavior resembling that of a liquid. As the cavity dimensions approach the wavelength of light, for example, the modification of spontaneous emission rates by the cavity (known as the Purcell effect) should be observable. Furthermore, moving deeper into the 'Meso-Exotic' plasma region defined in the first Roadmap will require electron number densities above 1016–1017 cm−3. Attaining such densities with a duty cycle above 1% will undoubtedly require new microcavity designs, novel approaches to delivering power to the confined plasma through the cavity wall, and synergistic wall–plasma interactions. The latter, in particular, suggests that an emphasis on the design of the wall surface will grow, and materials and periodic structures not normally associated with plasmas in the past will become the focus of research efforts. Diamond electric field emitters represent a significant step in this direction [17]. Stated in other terms, the walls will increasingly become 'active' in responding to the flux of electrons, ions, sheath electric field and radiation provided by the microplasma. Taken together, these considerations all point to new vistas for microcavity plasma science and technology. The interfacing of a gas-phase plasma with its electron-hole counterpart in a semiconductor, realized several years ago, is only a small indication of the potential that lies ahead.

Although microplasmas are readily generated in multi-atmosphere gas pressure environments, the number densities and chemistries are quite different from those characteristic of SCFs. Plasmas in SCFs are an exciting addition to solution plasmas because microscopic, gas-phase-like monomolecular structures and liquid-phase-like clusters coexist locally in an SCF and can, therefore, be characterized as a 'gas-liquid mixture nanospace'. Moreover, this new field may be considered from the point of view of its intersection with other ionized phases of matter (in contrast to neutral states), as shown in figure 2 which illustrates the critical role of SCFs in complementing plasma research with other forms of matter. Although still in its infancy, the field of SCF plasmas has already proven to be advantageous relative to both conventional SCF synthesis and plasma processes. It is hoped that recent results and examples of materials synthesis [16] will stimulate further developments, and serve to establish this approach as a viable alternative to other materials processing methods.

Figure 2.

Figure 2. Schematic of a typical phase diagram and corresponding ionized states of matter.

Standard image High-resolution image

Another frontier for microplasma science is that of plasma-chemical processing and, specifically, the potential for microplasma reactors to be patterned completely onto a chip. These multichannel ('massively parallel') processing architectures offer two advantages relative to conventional technology: (1) exploiting the advantages inherent to microplasmas, including power loading of the plasma and operating pressures, to produce the chemical species of interest, and (2) providing for redundancy in the system in a straightforward and inexpensive manner. This trend will continue, and the integration of microchannel or microcavity reactors with efficient optical sources [15] will result in hybrid plasmachemical/photochemical systems capable of driving the gas phase chemistry further from equilibrium than has been possible in the past. This development bodes well for realizing plasma chemistries capable of synthesizing products not available with current industrial-scale chemical processing, but yet also competitive economically with the equilibrium thermal processing that has been the commercial standard for more than a century.

Concluding remarks.

The examples briefly described above illustrate a few of the encouraging strides that have been taken in advancing both the science and technology of plasmas since 2012. The rapidity with which these nascent subfields of plasma physics have progressed from fundamental research to societal benefit underscores the unique properties of low temperature plasmas confined to mesoscopic spatial-scale cavities or produced in fluids such as SCF. With regard to the future, exotic plasmas such as SCFs, microcavity plasmas, and short time-scale plasmas present an exquisite challenge for diagnostics and modeling that will require the cross-disciplinary efforts of experimentalists and theorists from disparate fields such as sub-wavelength imaging, condensed matter physics, short pulse power electronics and nanotechnology [18].

2. Plasma metamaterials and plasma photonic crystals

O Sakai1 and M A Cappelli2

1 The University of Shiga Prefecture

2 Stanford University

Status.

Photonic crystals (PCs) and metamaterials (MMs) are artificial materials consisting of repeating dielectric or metallic structures [19, 20]. They interact with electromagnetic (EM) waves to enable their control such as filtering, reflection, guiding and focusing in ways that are not possible with natural materials. Metamaterials have structures ('atoms') that are subwavelength in scale. Interactions arise through resonances within the structures—conduction electrons (in metallic structures) or bound electrons (in dielectric structures) are resonantly driven to produce a desired electric or magnetic response and a correspondingly quasi-homogenous effective permittivity (εeff) or permeability (µeff). At frequencies in the vicinity of these resonances, εeff and µeff can take on negative values giving rise to negative refraction [20]. In contrast, PCs respond by exploiting successive Bragg scattering and interferences at interfaces that make up the structure. As in the propagation of electron waves in semiconductors, the interferences result in the formation of propagation bands and bandgaps. Largely dissimilar dielectric constants result in a large reflectivity and concomitantly stronger bandgaps. As in semiconductors, vacancies lead to localization of the fields and the formation of defect states which lead to mid-band propagation.

Gaseous plasmas consisting of electrons and ions afford the possibility of serving as resonating elements in MMs and as scattering elements in PCs. Due to the response of the free electrons, a plasma, magnetized or not, can reflect, refract or absorb electromagnetic waves owing to a strongly dispersive dielectric constant. Ordered spatio-temporal plasma structures are expected to have complex EM wave interactions and can serve as building blocks of PCs and MMs with unusual performance. Plasma PC arrays have been demonstrated [21]. Plasmas have also been incorporated into conventional PCs and MMs to enable new functionality. For example, plasmas can be used to fill vacancies in conventional PCs [22] or gaps in MM split ring resonators (SSRs) [23], thereby shifting resonance frequencies. Plasmas allow tunability by varying plasma density, collision frequency or magnetic field strength. While conventional PCs and MMs are generally passive systems a plasma offers the possibility of reconfigurability [21]. Although other solid and liquid bulk materials have a positive permittivity for frequencies spanning the microwave to terahertz regimes, plasmas with high electron density (~1016 cm−3) and relatively low collision frequency (sub-terahertz) can offer variable negative permittivity, which is one important property that metamaterials should pursue [21].

One example of a plasma PC is shown in figure 3 [24]. Here, a 2D array of plasma discharges (figure 3(a)) is designed to have a bandgap between 4.5 and 5.5 GHz (figure 3(c)). Turning off a row results in mid-gap transmission at 4.7 GHz, as shown in the simulated field map in figure 3(b). Good wave confinement is seen, despite the relatively small size of the crystal (7  ×  7 elements). Experimental transmission with and without the row of discharges activated are in good agreement with simulations. EM transmission along a 90° bend demonstrating reconfigurability can take place on time scales associated with plasma ignition and decay [24]. Plasma arrays of smaller lattice constants and higher plasma densities can result in bandgaps in the several tens of GHz range. The bandgap formed by the waveguide shown in figure 3 is in the εp  <  0 regime, although bandgaps for 0  <  εp  <  1 are also possible, and in figure 3(c), the positive εp bandgap is seen at about 8 GHz.

Figure 3.

Figure 3. (a) Photograph of a plasma photonic crystal array comprised of 7  ×  7 high current density discharge tubes. (b) Simulated waveguide performance when a row of the discharges is turned off and an antenna is placed at location 1, to transmit an EM wave to location 2. (c) Comparison of measured and simulated transmission (S21) spectra for the configuration in (b).

Standard image High-resolution image

Plasma MMs have been shown to have other extraordinary functions. A MM composed of dielectric plates and metallic SSRs, inserted into an argon-filled waveguide is shown in figure 4(a). When a pulsed high-power (<500 W, 2.45 GHz) microwave is launched into the waveguide, a plasma forms and surrounds this MM [25]. At this frequency, the SSRs are designed to have a negative µeff. For a sustained ionization, the plasma must have attained a negative effective permittivity, εeff, otherwise the microwaves cannot interact with this composite, due to an imaginary refractive index [21]. The propagation of the waves through this space indicates that the generated plasma has an electron density that is above the cutoff (7.4  ×  1010 cm−3 at 2.45 GHz) and therefore a high energy density plasma. The measured time-varying dielectric constant and corresponding refractive index is shown in figure 4(b). The transmitted microwave power (not shown) is found to increase with time [25] and the reflected microwaves undergo a large phase shift through the plasma resonance. This behavior is a consequence of nonlinear processes with a hysteresis and the response can form the basis for a high-power microwave switch and reconfigurable phase shifter.

Figure 4.

Figure 4. (a) Schematic of magnetically-active metamaterial that is inserted into an argon-filled waveguide section in which plasma is formed by pulsed excitation. (b) Time-variation in the measured dielectric constant and corresponding refractive index within the metamaterial-filled section. Note transition to negative values due to plasma density evolution to above cut-off values.

Standard image High-resolution image

Current and future challenges.

Plasma PC development relies on producing dense (i.e. high electron density), low collisionality plasmas. Expanding frequencies into the THz regime will require innovation on microscale plasma production. In the near-future, plasma-functionalized PCs may see their first practical applications with single microplasma discharges integrated into existing 2D and 3D conventional PCs, possibly around the terahertz range. Reconfigurable devices operating in this range are currently not available. The development of plasma MMs faces similar challenges. Individually addressed microdischarges fabricated into structures require circuitry that introduces losses at high frequency. Challenges are still faced in demonstrating reconfigurability, particularly at high rates. Reconfigurability is limited by plasma recombination, particularly at low pressure where there is a strong plasma response. The ability to produce and integrate high density plasmas with MMs to produce volume composites and to generate/support high electrostatic or electromagnetic fields can drive a nonlinear plasma response, a negative refractive index, plasma energy densities that can greatly exceed the wave energy and conditions that can lead to unusual EM wave manipulation (e.g. 2nd harmonic generation [28]). Theory and simulations of interactions and performance are often decoupled, i.e. plasma properties are assumed to be unaffected by the electromagnetic field. Simple (e.g. Drude) models are often assumed for the plasma dielectric constants. Drude models neglect terms in the electron momentum that lead to non-linear effects in plasma response.

Advances in science and technology to meet challenges.

Discharge arrays used in plasma PCs are mostly externally driven or generated using laser breakdown. Progress has been made in the formation of self-assembled plasma arrays as a result of spatio-temporal instabilities [26]. Extending plasma MMs beyond mm wavelengths will require a substitution of lossy metallic elements with dielectric or plasma resonators. Electrodeless-driven resonators that provide a magnetic response, particularly dielectric resonators [27], afford the possibility of low loss operation. Future advances in designing and predicting the performance of more complex systems, particularly those of higher energy densities, will benefit greatly from the development of coupled field-plasma simulations where a more comprehensive description is used for the electron fluid. Particle simulations, as well as higher-order moment models, can better capture non-equilibrium effects, likely to be generated at higher field and plasma energy densities where nonlinear responses are expected.

Concluding remarks.

Plasma MMs and PCs are emerging fields with considerable growth potential in the interdisciplinary area between the plasma and metamaterial sciences. The opportunities in their advancement are expected to be in the initial development of practical applications in the lower frequency regimes (below 30 GHz), while expanding the understanding of interactions (including non-linear interactions) and the generation of high density and low collisionality MM-plasmas composites and PC plasma arrays suitable for mm-wave applications.

3. Multiphase plasmas

Peter J Bruggeman1 and Armelle Vardelle2

1 University of Minnesota

2 Université de Limoges

Status.

The generation of discharges in liquids remains an active area of research. The higher density of liquids by approximately a factor of 1000, compared to gases, leads to an increased importance of multi-body collisional processes compared to the binary collisions in gases. The increased collision frequency can enable discharge dynamics at the sub-nanosecond time scale with spatial gradients in narrow discharge filaments that can be well below the optical diffraction limit [29]. Discharges in bubbles in liquids are also ubiquitous. While most of the studies focused on electrically-produced plasmas, laser-produced plasmas in liquids are also widely investigated.

Plasma–liquid interactions have gained tremendous importance in the last few years in the context of promising applications in environmental remediation, disinfection and, more recently, medical and agricultural applications, which are discussed elsewhere in this Roadmap. The understanding of plasma–liquid interactions and, in particular, the transfer of reactivity from the gas to the liquid phase is of prime importance for all of these applications. Our increased understanding has been underpinned by recent major advancements in diagnostics and modeling. An extensive review article has been published addressing the state of the art and key challenges of this field [30]. While most studies on plasma–liquid interactions focus on aqueous solutions, liquid hydrocarbons recently gained renewed attention in the context of fuel conversion and material synthesis [8].

Many recent studies on plasma–liquid interactions have been performed for non-equilibrium gas phase plasmas. Nonetheless, plasmas containing a dispersed liquid phase in the form of aerosols or droplets also have a long history in thermal plasmas: e.g. analytical techniques using inductively coupled plasma, production of advanced materials in the form of coatings [31, 32] or nano-particles [33]. In the emerging coating technology of plasma spraying of liquid feedstock, the material is injected in the form of droplets of suspensions of nano-or micro-sized particles or droplets of chemical solutions. In the latter case, coating material synthesis and deposition occurs in a single process [32]. Similar approaches are used in low temperature plasmas [34]. In many cases, the precursor is activated by the plasma or the heat produced by the plasma and, in some cases, the liquid is not only a means to introduce the precursor, but can also act as a moderator suppressing plasma processes with excess energy.

Current and future challenges.

Multiphase plasmas face many remaining scientific and technological challenges, many of them related to the complex plasma–liquid interactions as shown in figure 5. These challenges include unknown plasma characteristics, the control of the reactivity transfer at the plasma–liquid interface, interfacial charging and droplet transport.

Figure 5.

Figure 5. Schematic representation of the key plasma–liquid interactions. The boundary layer reflects the gradients in temperature, species densities and gas flow velocities. The sheath is present for ionizing plasmas when the liquid surface is charged.

Standard image High-resolution image

Our current knowledge about the discharge properties in liquids and bubbles is based on imaging, emission spectroscopy and modeling and remains limited [30]. Hence, these discharges are often considered as a black box in many application oriented studies. In view of the limitations of optical emission spectroscopy in a highly collisional environment with unknown gas composition and plasma parameters, the implementation of a larger set of diagnostics, although extremely challenging, would be highly desirable.

A major challenge for many applications remains the control of plasma-induced liquid phase chemistry. Plasma-generated reactive species in the liquid phase have been measured with an emphasis on long-lived species. Plasma-liquid interaction modeling has progressed rapidly but the models are still less developed than their gas phase counterparts and lack experimental validation. In particular, the interfacial plasma-liquid region containing the most reactive short-lived species, playing a crucial role in the plasma-induced liquid reactivity, only recently received increased attention [30, 35]. We also lack diagnostics and physical models to probe these interfacial processes. Since the Peclet number (the ratio of advective to diffusive transport) is typically large in liquids, convective processes should be considered in many cases. This is, however, a formidable challenge, due to the potentially extreme dynamic plasma–liquid interface with many possible disturbances and instabilities. Taylor cones, an extreme example of such instability, could lead to enhanced liquid and charge injection into the plasma. In addition, the evaporation of the liquid absorbs heat and introduces vapors in the plasma phase. This two-way coupling of the plasma–liquid interface is commonly not present for solid electrodes and has a large, and not well-documented, impact on the plasma properties and kinetics.

Charging of dielectric materials by dielectric barrier discharges and plasma jets recently received a lot of attention, although similar studies of plasma–liquid interfaces and the effect of solution properties are missing. Nonetheless, interfacial charging has an enormous impact on the discharge kinetics. The coupling between particles and plasmas in dusty plasmas also proceeds through charging. Many fundamental studies have been performed on the charging of particles by electrons in low pressure plasmas and the charging of droplets by ions at high pressure. However, many open questions remain, including charging in plasmas with complex ion mixtures and electronegative plasmas, very relevant for liquid containing plasmas. The effect of charging on droplets in thermal plasmas has not been quantified yet, although it is generally accepted that it is less important than in low temperature plasmas.

A final challenge for plasma spraying and aerosol or droplet enabled plasma deposition is the control of droplet transport and evaporation. This is particularly important in thermal plasmas, where the droplets are injected in the afterglow of plasma jets with very high gas flow rates that induce droplet acceleration, deformation and even fragmentation. The acceleration of the droplets is of key importance for coating deposition in order to overcome the Stokes effect and allow for efficient deposition while maintaining acceptable heat transfer to the substrate. Acceleration or deceleration of charged droplets is also expected in sheath regions of ionizing low temperature plasmas. In addition, gas flow patterns in plasma reactors can be complex and lead to variations in the residence times of droplets, and thus processing and evaporation, which might be unwanted for many applications.

Advances in science and technology to meet challenges.

A more detailed understanding of the processes through advances in diagnostics and modeling is required to enable accurate control of plasma–liquid interactions. A schematic of the proposed approach is shown in figure 6. The gained knowledge will have to be combined with the plasma source and reactor development that might be specific for each application.

Figure 6.

Figure 6. Flow chart illustrating the challenges of plasma-liquid interaction control and the proposed approach for future work. Specific challenges for droplets are provided in italic.

Standard image High-resolution image
Diagnostics.

Many techniques exist to measure in situ spatially-resolved gas phase plasma properties, such as gas phase species densities. These techniques become challenging to apply close to plasma–liquid interfaces, in the presence of droplets or in liquids and bubbles. Even more challenging, albeit extremely important, is the in situ measurement of liquid temperatures, surface charge and chemical composition. This is particularly the case for short-lived species at the plasma–liquid interface. Many techniques exist to measure the velocity, size and shape distributions of particles/droplets. However, the often broad size range and distributions of droplets, luminosity of plasma and large droplet concentrations make these experiments complex for application relevant plasma conditions. For several of the above challenges, diagnostics have been developed in other research fields; nonetheless many of these available diagnostics will require specific modifications or advanced models to interpret the results before they can be successfully implemented in a plasma environment.

Modeling.

While great progress has been made in the last few years [36, 37], models with a two-way coupling of (non-equilibrium) plasma kinetics in multiphase plasmas, including evaporation, charging, deformation, liquid interface instabilities and liquid phase convection, have yet to be developed. A further development of plasma–liquid interface interaction models is highly needed. A detailed framework of species transfer at the gas–liquid interface exists in other fields (such as aerosol chemistry) and could be extended with charged species, heat transfer and photon-induced effects to describe the plasma–liquid interface. This will require the calculation and/or measurement of cross sections, reactions rates and reaction probabilities for interfacial processes. Also, thermodynamic and transport data of several relevant plasma gas/vapor mixtures are still lacking for thermal plasmas.

Reactor development.

For many applications involving water treatment, a reactor design based on chemical engineering principles, combined with plasma insights, is required. In addition, further engineering of stable plasma sources, minimizing the effects of plasma instabilities and inhomogeneity, would be highly beneficial. In addition, it is necessary to develop plasma reactors with ample optical access, in which plasma–liquid interactions can be studied with a large set of advanced optical diagnostics in a controlled environment. Such studies will enable us to unravel the basic science of plasma–liquid interactions and allow us to improve current applications towards their full potential and develop new plasma technologies.

Concluding remarks.

A better understanding of plasma–liquid interactions will enable us to move from the current empirical optimization approach to a predictive modeling based design of multiphase plasma reactors. This can have huge implications for environmental, biomedical, advanced materials, analytical chemistry and renewable energy technologies outlined elsewhere in this Roadmap.

4. Particle transport in non-equilibrium plasmas

Zoran Lj Petrović1 and Igor V Adamovich2

1 Institute of Physics, University of Belgrade,

2 Ohio State University

Status.

The transport of charged particles in low pressure gases has been investigated through solutions of Boltzmann's equation (BE) [38] and through Monte Carlo (MC) simulations [39]. For example, the moment method of solving BE can address both electric and magnetic fields at arbitrary angles (see figure 7), including time varying fields and non-conservative collisions. A code based on the two term solution to BE [40] and several MC codes are available to all without any charge. Sets of cross sections, based on new binary collision data (see the section on atomic and molecular data), normalized on the basis of swarm (transport) data, are being developed. Broad use of these data may require reporting standards, as discussed elsewhere in this Roadmap.

Figure 7.

Figure 7. Multi-term solutions of the Boltzmann equation for drift velocities (a) bulk and (b) flux for positrons in CF4 in combined electric and magnetic fields for B/n0  =  1000 Hx, (in units Huxley, 1 Hx  =  10−27 Tm3, n0 is the gas number density) as a function of E/n0 (in units Townsend 1 Td  =  10−21 Vm2) and the angle between the two fields.

Standard image High-resolution image

Several kinetic phenomena have been identified and explained [41]. Time and, to a lesser degree, spatial dependent transport, as well as transport under the influence of e–e interactions, are now being investigated [39]. Techniques developed for electron and ion transport have been extended to fast neutrals and to positrons in gases. Swarm models can accurately represent many phenomena including DC and RF breakdown, gas discharge switches and dielectrics and gas filled traps. Swarm data are regularly used in fluid, hybrid and global models.

Current and future challenges.

Current transport theory and MC simulations need to be expanded to include particles with variable mass (clusters, etc), multiple scattering (i.e. dense gases) [42] and transport in liquids (including solvated particles), which require improved collision theory for such systems. The transport of charges through liquid plasma interfaces and the balance of charges at boundaries is complex. Further studies are needed in connecting the gas and liquid phase transport. Understanding ion transport in surface chemistry is critical for many applications of plasmas in biology and medicine, as well as for plasma chemical synthesis.

For many plasma conditions, non-hydrodynamic (non-local) transport is needed to determine the spatially dependent energy distribution functions. Plasma models should include kinetic phenomena to deal with complex geometries and field profiles. The conditions at which the two term approximation of solving BE break down should be quantified, particularly at high reduced electric fields (E/n0). Within the framework of the MC techniques, a systematic review of the boundary conditions for reflection, accommodation of energy and for secondary particle yields should be performed with critical recommendations for their use. In addition, resonant photon trapping/transport, which is often studied separately, needs to be coupled to electron and excited state kinetics.

Cross section sets normalized by the swarm technique need to be developed for a larger set of (complex) reactive molecules with input from binary collision experiments and theory. Explaining the remaining hydrogen and nitrogen vibrational excitation controversies would be an excellent test case. Including anisotropic scattering cross sections is likely needed, especially for non-hydrodynamic conditions and higher mean energies. Extending the range of sources of transport data should be one of the priorities in experimental collision and swarm physics. While presently pulsed Townsend experiments dominate, the return of time of flight (TOF) experiments with shutters and optical detection, as well as the Townsend Huxley experiment, would be advantageous. The field could also benefit from the development of standard experiments with positrons, selected ions and clusters. Further advances in positron transport would empower low temperature plasma models to optimize gas filled Penning Malmberg Surko positron traps and model positrons in liquids and living tissues, including positron induced chemistry and DNA damage.

An upgrade of the fluid equations (allowing for higher order transport coefficients) would enable the use of plasma models in new parameter spaces, and enable the integration of kinetic phenomena into plasma models. Swarm benchmarks, DC and RF, conservative and non-conservative breakdowns and the steady state Townsend regime should be on the list of tests for plasma codes incorporating these new capabilities, before venturing into the space charge induced effects. There remain several challenges related to the space time development of high pressure and high frequency breakdown and to high pressure micro-discharges. Kinetic modeling of non-hydrodynamic development should be performed for Langmuir probes, sheaths and even atmospheric discharges.

One of the areas where electron transport is not fully understood is highly transient plasmas in streamers and ionization waves sustained by short duration (ns and sub-ns) pulses, especially near electrodes and dielectric surfaces. In these plasmas, the peak electric field may exceed the DC breakdown field, generating very high energy electrons, while spatial gradients of the field may result in a significant change of the electron energy distribution over a mean free path. Electron transport at these extreme conditions is complicated by transient, non-local, non-isotropic effects. The time variation and spatial distribution of the electric field in the ionization wave front are strongly affected by charge separation in the plasma and charge accumulation on dielectric surfaces, driven by electron transport. Insights into electron kinetics in transient plasmas (in particular, the energy partition among different electron impact processes and the rate of energy thermalization) are critical for applications, such as low-temperature plasma, plasma-assisted combustion and plasma flow control.

Another area is ion transport in high-pressure electronegative plasmas, such as humid air, both in the afterglow of short-pulse discharges and in the effluent of AC and RF driven plasma jets. In discharges near dielectric surfaces (solid or liquid), charge transport to the surface controls the residual electric field and may affect reactive species fluxes and surface chemical reactions. Ion transport and excited species transport may well be coupled, due to charge exchange reactions and momentum transfer to the neutrals. The presence of particulates is another complicating factor, potentially resulting in particle charging and heating, surface ion-molecule reactions, and Coulomb force induced motion. Finally, the transport of solvated ions in liquids may be a key factor in the plasma-assisted decontamination of water and the delivery of reactive oxygen and nitrogen species to cells and through the cell membrane. Coupling this process to electron and ion fluxes from the plasma and to the charge accumulation on the surface makes in situ quantitative studies quite challenging. The study of these processes is likely to be one of the most critical research thrusts in near future.

Advances in science and technology.

The development of 3D Monte Carlo/particle-in-cell (MC/PIC) kinetic models using an adaptive mesh and transient non-isotropic treatment of plasma electrons [43] is required for high-fidelity modeling predictions. Considerable progress has been made in electric field measurements in high-pressure transient discharges, using ns and ps four-wave mixing, as shown in figure 8 [44, 45]. This method has significant potential for the time-resolved measurement of electric field distributions in transient plasmas, especially if ultra-short pulse lasers are used. The evolution of electric field distribution during breakdown (on a ns time scale) would yield quantitative data on electron transport, while during the afterglow (on a µs to ms time scale) would lend insights into ion transport to dielectric surfaces. Finally, the measurement of the residual electric field caused by charge accumulation on a liquid surface would provide insight into solvated ion transport through the bulk of the liquid. Electron density and electron temperature measurements in high-pressure molecular plasmas remain a formidable challenge. In spite of the progress in the use of Thomson scattering, including time-resolved and spatially-resolved electron density and temperature measurements in an atmospheric pressure argon plasma jet [46], measurements in air are complicated by overlapping Raman and Thomson scattering spectra [47]. A further improvement of the time resolution, which would require reducing the laser pulse duration without a significant reduction of pulse energy, would also be beneficial to capture the electron kinetics at the fastest time scales. Finally, nonintrusive measurements of the high-energy tail of EEDF, controlled by inelastic collisions and non-local electron kinetics, still appear to be out of reach. For this, the use of high-fidelity predictive kinetic models [43] would be invaluable.

Figure 8.

Figure 8. Electric field in quasi-2D ns pulse discharge 'curtain' plasma in ambient air, measured by ps 4-wave mixing ~100 µm from the high-voltage electrode. The discharge is sustained between a steel razor blade and grounded copper foil covered by a quartz plate with a thickness of 120 µm. The discharge gap is 600 µm. Reproduced from [45]. © IOP Publishing Ltd. All rights reserved.

Standard image High-resolution image

Concluding remarks.

Quantitative insights into electron and ion transport in transient high-pressure plasmas require significant advances in the measurement techniques of plasma parameters controlled by or closely related to charged species transport, such as electric field, electron density and temperature and ion temperature. Comparing measurements with high-fidelity modeling predictions is essential for understanding the role of the kinetic processes involved and the development of applications. Transport theory is one of the main building blocks for the fundamental understanding of non-equilibrium plasmas. It has incorporated a wide range of phenomena and conditions, such as time dependent, E  ×  B, non-conservative and non-uniform (non-hydrodynamic) transport and related kinetic phenomena. Incorporating these phenomena into plasma models, allowing for new qualitative understanding and improved quantitative rigor, is a high level challenge. Plasma models should be tested on transport based benchmarks as a necessary part of the verification of the model. Another great challenge is developing a transport theory of charged particles in dense gases and liquids (especially polar) and integrating such theories into plasma models.

Acknowledgment

Authors are grateful to Saša Dujko for providing figure 7.

5. Plasma–surface interactions for material fabrication

Gottlieb Oehrlein1 and Satoshi Hamaguchi2

1 University of Maryland

2 Osaka University

Status.

When a gas-phase plasma modifies a material, it is at the plasma/material interfacial region where the critical 'actions' take place. These 'actions' include the penetration of energetic ions, electrons and photons into the material, the breaking of bonds, the collision cascades of atoms of the material near its surface subjected to energetic ion impact, diffusion and/or deposition of reactants supplied to the material surface and subsequent chemical reactions. For solids, modifications, due to plasma–material interactions (PMIs), are limited to particle penetration depths. For low energy ions (up to about 100 eV) these are at the nanometer-scale, whereas, for photons, much larger penetration depths of certain materials (e.g. polymers) are possible. In this section we focus on the emerging PMIs for which significant scientific and technological challenges exist.

Much attention is focused on atomic-scale (i.e. sub-nanometer-scale) control of surface reactions for materials processing [5, 48, 49]. As the dimensions of semiconductor devices continue to diminish, thin film deposition and etching techniques often demand control at atomistic length scales. The technological requirements to maintain atomistic control in PMI and minimize the damage of materials while changing the material/structure in a desirable fashion have pushed plasma-based techniques increasingly towards fundamental limits [5]. For example, plasmas with a low ion energy have been used for plasma-assisted atomic layer deposition (ALD) [48] and atomic layer etching (ALE) [49]. Material surface processing for biomedical applications has also attracted much attention. The formation of desired functional groups, such as primary amines and carboxyl groups, and the control of surface morphologies are typical goals of such processes. The formation of specific functional groups on a surface layer also requires atomic-scale control of surface processes.

High power impulse magnetron sputtering (HiPIMS) is a sputtering deposition technique capable of producing high-quality thin films [50, 51]. Due to the application of high power in short pulses, a dense plasma is produced, which contains a high fraction of ionized species but is transient. The PMI of HiPIMS is characterized by its large, transient and non-uniform fluxes of energetic ions arriving at the substrate surface. HiPIMS has relatively low deposition rates and some difficulty in controlling target poisoning (i.e. the change of target surface materials during the process) due to the target exposure to high density plasmas, which may affect the quality of the deposited films. We believe that technological improvements and innovations will overcome such current shortcomings of HiPIMS, but certainly the complexity of HiPIMS demands an improved fundamental understanding of PMI in HiPIMS environments.

Atmospheric-pressure plasmas (APPs) are increasingly used for coating and other surface processing, including the modification of polymer webs [52]. Without the need for a vacuum system, processing is suitable for cost effective surface processing and may be applied to roll-to-roll processes. Unlike low-pressure plasma processing, APP PMI and surface processes can be dominated by fluxes of neutral reactants.

Current and future challenges.

For atomic-scale processes, at the extreme, we would like to modify one monolayer of a material at a time and leave the remainder of the material unaltered. In the plasma environment, the consequences of PMIs are due to the combined effect of multiple species, including ions, electrons, radicals, excited neutrals and photons. For this complex and dynamic environment, a key challenge is to achieve specific surface reactions that allow atomic scale control. Due to the multiplicity of the incident particles with strongly differing penetration depths in the material, it is a very difficult task. For film synthesis, a high degree of control is required over fragmentation of film-forming precursors and plasma chemical reactions.

A material surface can be multi-functional regarding chemical reactions by incorporating various functional groups or moieties. The formation of multi-functional material surfaces highlights important trade-offs in plasma-based synthesis. The use of very thin films reduces raw material use and can provide control over the multi-functionality of the film. However, while the deposition of plasma polymer films is well-studied, most of the materials in current use are highly cross-linked and dense. Hegemann et al [53] have pointed out the trade-off between the functional group density and the film density (see figure 9). Here, the functional group density is the amount of a functional group relative to other film components. As the average energy of condensing atoms increases, the film density increases (figure 9(a)), whereas the functional group density decreases (figure 9(b)). Similarly as the energy density on the film surface decreases, the functional group density increases, whereas the number of cross-linked bonds decreases. Functional coatings characterized by a high functional group density are more labile than the highly cross-linked plasma polymer films, and these coatings typically suffer from long-term stability problems, including a complete loss of functionality.

Figure 9.

Figure 9. Comparison of film density (a) and functional group density (b) for plasma-based deposition of plasma-polymer films versus momentum transfer to the surface during deposition. Reprinted from [53], with the permission of AIP Publishing.

Standard image High-resolution image

The challenges to control surface functionalities of the processed films therefore lie in the control of plasmas to achieve the optimal balance among the incident ion and radical species fluxes, and ion energies. A better understanding of the role of the incident species fluxes, ion energy dependence and species selectivity of induced etching reactions is required, in addition to technical knowledge on how to employ dynamical control of the plasma for better process control.

Advances in science and technology to meet challenges.

The industrial needs for plasma processing that enables materials modification, deposition,and etching in the sub-nanometer range have led to the development of plasma-based processes involving sequences of individual, self-limited surface reaction steps [48, 49]. While these capabilities have been demonstrated for a range of plasma chemistry/materials systems, further progress in this area requires improved control of ion energy distributions, enhanced temporal control of power, molecular precursors, gas delivery and pumping [54]. Maintaining control of surface chemistry under conditions where low energy ion bombardment is required may necessitate novel surface and defect passivation schemes to prevent inadvertent changes in surface conditions. Control of plasma-induced surface roughness is another important challenge for processes where either smooth surfaces or controlled surface roughness are desired [55].

The recent advancement of computational modeling and simulation for bulk plasmas, sheaths, micrometer-scale surface profiles and atomic structures/dynamics of material surfaces has facilitated our improved understanding of plasma processes. For example, for plasma-induced surface roughness at the micrometer scale, a surface profile simulator may identify the physical mechanisms of the roughness generation, whereas chemical composition and nanometer scale roughness of a processed surface may be analyzed by atomic-scale PMI simulations. The accuracy of the simulations must be validated by the comparison with experimental observations. Unlike plasma experiments, beam experiments can single out a specific elementary reaction of incident ions or charge-neutral reactants with a material surface under specific conditions [56]. Comparison with such well-defined experiments may clarify the reliability and useful range of computational models and help improve model accuracy.

An example of observations obtained in ion beam experiments is given in figure 10, which shows the angular distributions of desorbed charge-neutral species from a Si (1 0 0) surface exposed to a 500 eV CF3-ion beam. Such data, combined with computational modeling, can reveal the nature of surface reactions, as well as chemical species returning to the plasma during the surface processes.

Figure 10.

Figure 10. Angular distributions of desorbed species when a single crystal Si (1 0 0) surface is exposed to a 500 eV $\text{CF}_{3}^{+}$ beam at an incident angle of 60°. The radius of the polar graph (i.e. the distance between the origin and a point on the curve) is proportional to the flux of desorbed species. Reproduced from [56]. © IOP Publishing Ltd. All rights reserved.

Standard image High-resolution image

Diagnostics of plasmas and surface properties are also the key for a better understanding and control of plasma processes. For example, for HiPIMS, an improved understanding of the spatial dependence of ionization, ion energies and plasma instabilities associated with charge transport are a prerequisite for better control of plasma properties, as well as deposited film qualities. As for APPs, the challenges include better identification of reactive species from the plasma that make it to the surface, including understanding the role of interactions with the gaseous environment, the characterization of the surface chemical reactions caused by the incident reactive species, along with interactive effects amongst multiple species.

Concluding remarks.

Plasma processing of 3D structures approaching atomic-scale accuracy requires ever more precise control of the fluxes of plasma-produced species to the material surface, i.e. the ion and photon compositions, their energies and the chemical nature and quantities of neutral reactants, along with the management of the resulting chemical reactions on the material surface. One of the recent trends of advanced plasma processes is pulsed plasma power, reactive gases and/or biasing, including sequences of temporally/spatially separated plasma steps, which enable better control of fluxes of plasma-produced species and surface reactions with atomistic-scale precision. However, in developing such highly sophisticated and complex processes with more process parameters to be adjusted and more reactive gases to be chosen, one must achieve a significantly improved understanding of the nature of the plasma and surface reactions. For atomic-scale processes, for example, a small amount of impurities inadvertently arriving at the surface may significantly deteriorate surface properties. A challenge is therefore to develop sufficiently resilient processes to achieve atomistic-level control of surface processes in non-ideal environments, i.e. environments of typical plasma processing tools in a manufacturing production line. Computational modelling and simulations, combined with plasma and surface diagnostics, have significantly contributed to a better understanding of the fundamental properties of processing reactions for various plasma systems. As more sophisticated surface processes are demanded by industries and the complexity of PMIs increases accordingly, fundamental studies are likely to contribute more directly than ever before to technology development.

6. Atomic layer processing

Seiji Samukawa1 and Shahid Rauf 2

1 Tohoku University

2 Applied Materials Inc.

Status.

Low-temperature plasmas are widely used for key processes, such as etching, thin film deposition and surface modification, during microelectronics fabrication [57]. As the semiconductor device dimensions shrink below 5 nm, there is a growing requirement for plasma processes that can control dimensions with atomic-level precision. However, energetic ions and ultra-violet (UV) radiation in existing plasma tools can damage the near-surface material, severely deteriorating the electrical and optical properties of nano-scale devices. Furthermore, fabrication of future three-dimensional (3D) nano-devices will require precise control of surface chemical and ion-assisted reactions with high etch selectivity between materials. Such atomic-scale dimensional control and high selectivity are becoming important, even for conventional etching and deposition processes, such as the etching of high aspect ratio (HAR) deep vias (i.e. holes with height/diameter $\gg $ 1). In this section, we review some emerging plasma-processing applications and discuss the status of the atomic layer deposition (ALD) and atomic layer etching (ALE) processes.

Current and future challenges.

ALD has had a disruptive influence on microelectronics fabrication in recent years [58]. In the ALD process, thin films are grown by cycling between a set of complementary steps, all of which are individually self-limiting. High-quality films with sub-Å thickness can be conformally deposited within HAR features using ALD. Plasmas have the potential to significantly enlarge the set of films that can be grown using ALD, due to the presence of many unique reactive radicals produced in plasmas, the ability to initiate surface processes at low temperatures, and the presence of energetic ions. Plasma-enhanced ALD (PEALD) has been used to grow metal (e.g. Ti, Ta) as well as metal oxide (e.g. Al2O3, TiO2) thin films. There is considerable interest currently in growing nitrides such as Si3N4 using PEALD, with one major application being the spacers used during quad-patterning [59]. PEALD, however, has some unique challenges compared to thermal ALD, which would require considerable development to resolve. Current plasma sources produce a wide variety of radicals, and many competing surface reactions can simultaneously occur. Exposure to UV emissions from the plasma can form defects (dangling bonds) over a few tens of nm from the top. Plasma-generated radicals are often very reactive on surfaces, which may make it difficult to transport these radicals to the bottom of HAR features, leading to non-conformal films. Although ions are useful for treating films during PEALD, ions gain most of their energy in the sheath above the substrate and generally have a narrow angular distribution. Therefore, it is difficult to identically treat the sidewalls and bottom of the HAR features using ions. If ions are too energetic, they can potentially damage many mono-layers, and the thermal budget might not allow one to anneal out this damage. Better control over radical composition and over ion energy and angular distribution (IEAD) will go a long way towards enhancing PEALD capability.

Interest in ALE has increased considerably in the last few years [60, 61]. Although some of the recent work can more accurately be termed nano-scale layer-by-layer etching, it is undeniable that the microelectronics industry is seeking etch solutions that remove materials with atomic precision, doing it with high selectivity between materials, and leaving the unetched material atomically pristine. With respect to plasma-enhanced ALE (PEALE), these techniques can be classified into (a) chemical etch processes that primarily use the plasma as a source of reactive radicals and (b) ion-assisted etch methods that rely on ions to provide directionality. The radical-based PEALE processes have been used to etch Si, SiO2, Si3N4, and organic polymers while ion-assisted PEALE has been demonstrated for semiconductors and dielectrics. The radical-based schemes can be highly selective and low-damage but are generally isotropic and can have loading issues (i.e. etch rate dependence on feature size) due to the high reactivity of radicals on feature sidewalls. The ion-assisted etch schemes generally remove many atomic layers during each step due to high ion energy and UV emission from common plasma sources. Energetic ions and UV emission in plasmas can also leave the surface damaged.

Neutral beam process technology has attracted attention as one method to address the challenges of atomic precision plasma processing [62]. Use of a neutral beam eliminates the incidence of charged particles and UV photons on the substrate, and so expose the substrate only to energy-controlled neutral beams. These attributes enable precise nano-processing, which suppresses the formation of defects at the atomic layer level and controls the surface chemical reactions with high precision. Atomic layer defect-free and roughness-free Si (or Ge) channel etching for sub-22 nm Fin-FETs (figure 11) [61, 62], ultra-thin gate dielectric film formation for sub-22 nm Fin-FETs, transition metal oxidation for ReRAM, atomic layer super-low dielectric film deposition for sub-22 nm FETs, atomic layer damage-free etching of magnetic materials [61] and low-damage surface modification of carbon materials (including nanotubes, grapheme, and organic molecules) for future nano-devices using neutral beam processing have been demonstrated.

Figure 11.

Figure 11. Dependence of Si channel electron mobility on fin width. Neutral beam and plasma was used for etching. Higher mobility Si channel was obtained using neutral beam etching. Reproduced with permission from [62].

Standard image High-resolution image

Shrinking feature sizes are making the requirements for conventional etch and deposition processes more stringent as well. With a decreased tolerance for variability, there is an increased emphasis on improving plasma uniformity, the development of thin films geared towards specific applications and high selectivity during etching. HAR deep holes with aspect ratios  >  50 are used in memory devices and for making contact to transistor terminals. As transporting reactive radicals deep within narrow HAR features becomes more difficult, the ion energies are being driven to unprecedented levels (multiple keV) to narrow down the ion angular distribution. Non-planar transistors such as Fin-FETs have become the norm in leading-edge microprocessors, and 3D devices, such as gate-all-around transistors fabricated using Si nanowires, are not too far behind. The development of 3D flash memory [63] is leading to rapid advances in data storage. Fabrication of these non-planar devices requires high etch selectivity between materials, which in turn necessitates the precise control of IEAD and ion/radical composition. Due to delays in the development of next-generation lithography technologies, such as extreme ultraviolet lithography (EUV), the burden of shrinking microelectronics device dimensions has increasingly fallen on plasma etching and deposition. Techniques, such as quad-patterning [59], require many high-selectivity etch processes and new thin films specially designed for patterning.

Advances in science and technology to meet challenges.

With plasma processing increasingly requiring atomic level precision, future developments will greatly benefit from research in several areas. The fundamentals of material–plasma interaction at the atomic scale, in particular during PEALD, are not well understood. Surface analytical techniques, such as those used for understanding plasma-enhanced Si deposition [64], thermal ALD deposition [58] and dielectric etch [49], can help in this regard. Quantum chemistry modeling can be used to clarify many neutral-based processes. While molecular dynamics have typically been utilized to study energetic ion/neutral beam–surface interactions, new modeling approaches could also be developed, considering that ion/neutral beam energies can be low during plasma-based atomic precision processes. There is evidence that ion/neutral beam energy beyond a few eV starts to damage the material. The ion energy in most industrial plasma sources, such as inductively and capacitively coupled plasmas, is too high for atomic-precision materials processing. The ion energy can be reduced slightly using microwave plasmas or by pulsing the RF sources. However, electron beam-generated low electron temperature plasmas [65] are uniquely suited for atomic precision processing and should be developed further. Irrespective of the plasma source, better control over IEAD, neutral beam EAD and the electron energy distribution is needed. While typical control parameters, such as gas pressure and power, allow limited control over these distributions, more precise control may be possible through RF waveform shaping and RF source pulsing.

Concluding remarks.

Plasma materials processing remains one of the vital technologies for microelectronics fabrication. Atomic-level precision is increasingly being required in these plasma etching and deposition processes. Such stringent requirements are prompting the development and exploration of techniques that enable better control of the ion EAD, neutral beam EAD, electron energy distribution, reactive neutral to ion flux ratio and the UV photon flux. Future advances in the field will benefit from good fundamental understanding of low energy synergistic (<20 eV) ion-radical interactions with materials. Although the emphasis here has been on ALD and ALE that are controlled by, for example, plasma pulsing, other techniques to achieve nanoscale control, such as self-assembled structures, also show promise.

7. Plasma synthesis of nanomaterials and nanostructured materials

Uwe Kortshagen1 and Pietro Favia2

1 University of Minnesota

2 University of Bari 'Aldo Moro'

Status.

The synthesis with plasmas of inorganic and organic nanomaterials in the form of thin films, nano-textured surfaces, nanoparticles and others has made significant progress over the past few decades. Among the unique features of plasmas in this field are the pronounced chemical non-equilibrium environments of plasmas, the fact that plasma-produced precursors are highly reactive radicals and ions; and the enhanced energy transfer to nanomaterials' surfaces that can be achieved by reactions with plasma ions, electrons and excited species. Plasma synthesis now complements other nanomaterials production techniques, either in solution, in the vapor phase or from aerosols, and for some materials is the preferred or only viable synthesis method.

Inorganic nanomaterials are of particular interest, due to their electronic, excitonic and plasmonic properties. They are expected to find applications in diverse fields of technology including, but not limited to, electronic devices, energy conversion technologies, such as photovoltaics or thermoelectric, catalysis, biotechnology and medicine. In general, inorganic nanomaterials can be classified into zero, one and two-dimensional (2D) materials. The plasma synthesis of zero-dimensional (0D) nanomaterials, quantum dots or nanocrystals has found significant adoption, due to the ability of plasma to synthesize materials that are difficult to synthesize with other approaches [66]. Efforts initially focused on strongly covalently bond materials, such as the group IV materials silicon, germanium and carbon, but have more recently also included group IV alloys. More contemporary efforts have expanded the materials studied with plasma synthesis to doped nanocrystals, as well as metal oxides, nitrides and sulphides [66]. Among one-dimensional (1D) nanomaterials, the main emphasis of plasma research has been on carbon nanostructures, including single- and multi-wall carbon nanotubes and carbon nanofibers. Growth, often aided by a catalyst, can be highly directional, and highly aligned arrays of these nanostructures were demonstrated [67]. Smaller efforts were devoted to plasmas-enabled growth of semiconductor nanowires, including silicon and zinc oxide. 2D materials have recently attracted significant attention, due to their intriguing properties first observed in graphene. Compared to zero and 1D materials, plasma synthesis efforts for 2D materials are largely in their infancy and mostly focused on graphene [68].

On the side of organic nanomaterials, several plasma processes are established today at the industrial level, and newer methods are being developed to tailor surface functionalities and properties of organic polymers in an increasing number of applications and devices, e.g. for imparting hydrophilicity, printability and compatibility with cells and tissues. One of the most interesting categories of plasma processes developed in the last few years, for example, uses atmospheric pressure plasmas fed with aerosols of a solution of biomolecules [69] and leads to thin 'nano/bio-composite' coatings of an organic or inorganic matrix with embedded biomolecules (enzymes, drugs, saccharides, etc). When deposited in properly optimized conditions, these coatings can release the biomolecule in a controlled way in solutions or in contact with a biological tissue, in several possible biomedical applications.

Hybrid processes are also utilized, e.g. combined low pressure plasma deposition and sputtering processes, to synthesize nanocomposite catalytic coatings made of an organic matrix with embedded metal nanometric clusters [70]. In a similar approach, aerosols of nanoparticle suspensions are used to feed atmospheric pressure dielectric barrier discharges or plasma jet sources, and deposit metal-containing nanocomposite coatings. Nanofilms (NFs), namely, quasi-2D self-standing 1–100 nm thick membranes, with aspect ratio 106 and greater, characterized by high flexibility, robustness and, in most cases, transparency, can be plasma-deposited at low and atmospheric pressure [71]. NFs have a large number of potential applications in electronics, textiles, sensors and biomaterials. Other recent approaches use plasma-etching/grafting 'nanotexturing' processes to tailor the roughness of polymers or inorganic substrates at the nanometric level to develop super-hydrophobicity, super-hydrophilicity and other pre-determined properties [72].

Current and future challenges.

The field of inorganic nanomaterials synthesis with plasmas offers intriguing opportunities and challenges. Plasma synthesis, when compared to liquid phase synthesis, offers the unique advantage that nanomaterials are synthesized with bare surfaces. However, this is also a challenge as defect states at the nanomaterial surfaces negatively impact the material's properties. Developing methods of effective in situ surface passivation and functionalization, for instance, through the plasma growth of core–shell nanomaterials, is a significant challenge. Furthermore, while plasmas have demonstrated an, at times, unique ability to electrically dope certain nanomaterials, the efficiency of dopant activation has been found to be highly variable, from 10−4 to almost unity, necessitating a much better understanding of the physical mechanisms governing doping in plasma produced nanomaterials. Morphology control is another area of significant challenge for plasma synthesis. Compared to liquid phase growth, where the different surface energies of different crystallographic planes can be exploited to grow non-spherical shapes, plasma synthesis of 0D materials favors spherical or close to spherical morphologies. Transferring some of the methods learned for 1D materials to produce nanorods or nanoplatelets could prove to be highly beneficial for applications, such as plasma-produced plasmonic and optical nanomaterials. Furthermore, there is still a general challenge of producing 2D materials with high purity and crystallinity at a wafer scale. This may be a significant opportunity for plasma research, not only limited to the synthesis of graphene but also of other promising 2D materials, such as transition metal dichalcogenides.

In the area of organic materials, the high complexity of aerosol-assisted atmospheric plasma PE-CVD processes, where micro/nanometric aerosol droplets of solutions of biomolecules or suspensions of nanoparticles are fed in to the plasma along with an inert carrier and a matrix-forming precursor, makes the design of the plasma source and the diagnostic/control of the process very challenging. It is equally difficult to design the process itself in a way that large area or 3D substrates (e.g. porous systems) can be evenly coated, also within their pores. For the same reason, a complete and detailed understanding of the homogeneous and heterogeneous processes is very challenging. In certain conditions, for example, nanometric capsules consisting of a PE-CVD shell embedding a droplet of a biomolecule solution can be synthesized, as shown in figure 12, rather than an even conformal coating. Other challenges are met at the application level, when even simple surface modification plasma processes have to be applied to substrates with 3D complicated shapes, like biodegradable polymer scaffolds with sub-millimetre interconnected porosity [73], commonly utilized in regenerative medicine.

Figure 12.

Figure 12. Core–shell nanocapsules produced in an aerosol-assisted AP PE-CVD process. The shell is made of a hydrocarbon membrane originated from the ethylene feed in the discharge. The core contains a droplet of a biomolecule solution.

Standard image High-resolution image

Advances in science and technology to meet challenges.

There are significant gaps in knowledge in understanding the interaction between plasmas and nanomaterials. Nanomaterials experience strong fluxes of radicals and charged particles with strong energy exchange with the plasma environment, leading to extreme non-equilibrium conditions during growth, as shown schematically in figure 13 [74]. Furthermore, the effects of the nanomaterials immersed in or in intimate contact with the plasma on the plasma itself need to be better understood. In the area of inorganic nanomaterials, it will also be important to improve the understanding of the growth of nanomaterials in plasmas and explore how the interaction with plasmas species aids or inhibits nanomaterial doping [75].

Figure 13.

Figure 13. Schematic of the energy exchanges between nanoparticles and the surrounding plasma. Nanoparticles receive energy through energetic surface reactions, such as electron and ion bombardment, recombination, and association reactions. They lose energy through conduction to the neutral gas and radiation. Reproduced from [74]. © IOP Publishing Ltd. All rights reserved.

Standard image High-resolution image

The same challenges apply to the deposition of organic films. The field of aerosol-assisted atmospheric plasmas is just emerging and issues known from dusty plasmas at low pressures, such as charging and agglomeration, need to be understood at atmospheric pressure. In the case of aerosol-assisted plasmas containing droplets, the evaporation of the droplets adds new complexities in terms of the plasma physics and plasma chemistry. An entirely new understanding of liquid droplets and solid particles interacting with plasmas at atmospheric pressure needs to be developed.

Concluding remarks.

The field of plasma synthesis of nanomaterials and nanostructured materials has significantly gained in importance in areas such as biotechnology, medicine, energy conversion and electronics. Newer applications are expected from these advances in the next few years. Impressive progress has been made, but there still exists significant research challenges in the areas of plasma–aerosol interactions and plasma surface interactions.

8. Plasma agriculture and innovative food cycles

Masaru Hori1 and Brendan A Niemira2

1 Institute of Innovation for Future Society, Nagoya University

2 Eastern Regional Research Center, U.S. Department of Agriculture

Status.

Contamination of foods with human pathogens such as Salmonella, Listeria monocytogenes, Escherichia coli O157:H7, and norovirus is an ongoing challenge for growers and processors of foods. The economic impact of foodborne illness is felt in costs of medical care, lost productivity and in fatalities, with annual costs in excess of $15.5B in the US alone [76]. There is a need for novel, effective post-harvest food safety interventions that will improve the safety of foods while preserving quality, freshness, nutritional value and consumer appeal. At the same time, there is significant interest in pre-harvest plasma applications to improve the productivity and value of agricultural commodities. Low-temperature plasma produces electrons, ions, radicals and photons with an electric field, of which a variety of combinations of species and radiation can be applied to organisms and food. Their effects on organisms include the promotion of germination, rooting and growth in plants, the inactivation of contaminating microbes, decontamination of foodborne pathogens and the preservation of perishable food products, which are dependent on the plasma duration dose. Target organisms are either directly exposed to the plasma produced reactive oxygen and nitrogen species (ROS, RNS) together with UV light and/or pulsed electric field of the plasma, or treated with a liquid that has been 'activated' with plasma reactive chemical species by exposure to plasma. Plasma devices, such as plasma jets, dielectric barrier discharges and microwave plasmas, can be used for this purpose and can generate plasma air or plasma liquid, offering a wide range of possible applications (figure 14). Among the challenges for cold plasma technology development are key constraints arising from the nature of foods and food production systems, and the complex microbiology of human pathogenic bacteria and viruses in a food matrix.

Figure 14.

Figure 14. Innovative plasma application targets in the food cycle, from farmers to customers, for cutting food losses and reducing waste.

Standard image High-resolution image

Current and future challenges.

Plasma agriculture applications of non-equilibrium atmospheric-pressure plasma (NEAPP) have been used for inactivation of microorganisms [77], decontamination [78], enhancement of seed germination and plant growth [79] and DNA introduction [80]. The inactivation of microorganisms by NEAPP can be effective at protecting agricultural crops and food storages from pathogenic insects and bacteria. An easily-applied indirect treatment for seeds and plants is using liquids treated with plasma, such as plasma-activated medium (PAM) or plasma-treated water (PTW). Biofilms inactivation on foods and food contact surfaces is an area of active research [81]. The decontamination of food with NEAPP can be performed without reducing the flavor [77]. NEAPP treatment of atmospheric air enables the decomposition of harmful gases, such as ethylene, to maintain freshness [82]. Measurements of the number densities of individual species during food processing will help to control the effectiveness of the stress level from NEAPP. Lower doses of active species from NEAPP can induce the growth enhancement of cells and plants [77]. The optimal conditions for the treatment must be determined based on the local and temporal variations of individual plants in order to increase the yield. Post-harvest, using cold plasma on foods and in agriculture requires generating and sustaining a stable plasma discharge under circumstances of changing dielectric potential, changing gap separation of product and electrode, and in consideration of irregularities on the surfaces of foods to be treated [81].

There are still issues regarding implementation: (1) the verification of the efficacy of plasma on cells, (2) the improvement of devices and (3) the replacement of existing techniques by plasma agriculture. The issue is not only viability, but also the elucidation of the mechanisms and safety of plasma agriculture treatment in the cells, plants and foods. Plasma devices must be developed and configured appropriately to suit various scenes in the field, distribution pathway or factory. For example, key challenges of cold plasma technology as applied to foods and agriculture are the large volumes and the short timeframes on which the plasma treatment must take place to be effectively integrated into the food processing workflow on an industrial scale. A new technique using plasma must outperform the current techniques in efficacy, convenience, cost, safety or other factors. It is important to consider that emerging plasma technologies will be evaluated in the context of food production and processing, which present a set of constraints on unit cost, commodity throughput rates, etc., which differ markedly from plasma medicine applications. Resolving these issues will support new innovations with NEAPP in agriculture and food industry within several decades. For plasma scientists, figure 15 shows the roadmap from current research ideas to implementations in the near future. We emphasize the importance of quantitative measurement and monitoring of active species produced by NEAPP [83]. The techniques have the potential to improve the agriculture and food industry in many aspects for producers, distributors and consumers by taking the advantages of atmospheric-pressure plasma, such as controllable doses of irradiated active species, while minimizing the damage due to non-thermal techniques.

Figure 15.

Figure 15. Technology roadmap of plasma agriculture and food industry.

Standard image High-resolution image

Advances in science and technology to meet challenges.

Fully understanding NEAPP-induced effects in agriculture and food industry applications requires elucidating the interaction of the gaseous and aqueous species with biological substances. Measurements providing an insight into plasma parameters and chemistry allow us to characterize the plasma source and optimize plasma treatments for decontamination, plant growth and crop yield, as well as genetic transformation. For instance, NEAPP decontamination effects are optimized to provide the dosage of a key species, O atom, rather than 1O2, O3 or NO. Plasma bactericidal and proliferative effects are also enhanced by controlling the dosages to each target.

All the challenges encompass three areas: (1) extracellular signal and stresses, (2) membrane and cell walls and (3) intracellular signal and response, during and after exposure to plasma-induced oxidative (electrophilic) stresses. Each of these will necessarily be considered differently for food commodities with active metabolisms (fruits, vegetables, raw nuts, grains, live shellfish, etc.) versus those which have purely chemical interactions with their processing, storage and shipment environments (meats, poultry, milled grain, etc.). Second, in the optimization of plasma-induced porations in cells for the production of genetically modified organisms (GMO), molecular biological studies are needed that will assess risks as well as anticipate benefits [84]. Third, under plasma-induced stimulation, intracellular enzyme function and regulation will clarify the plasma-induced changes in storage times or nutrient values. Other potential alterations may include hormone signaling, quorum sensing, microbial population dynamics and other responses of food-associated microflora.

Methods are needed to control the flux and energy of the reactive plasma species at treatment levels that preserve the quality and nutritional value of the plasma-processed foods. Realizing these goals requires further elucidation of the interaction between biology, food chemistry and plasmas [85]. For example, as basic an input as the composition of the plasma feed gas not only determines the ionization voltage, power consumption and resultant profile of active chemical species, but it also determines the metabolic response of treated fruits and vegetables (and therefore the flavor, aroma, color, nutritional value, etc.), and will, in a large part, establish the cost of the process on a commercial scale. A critical area of research for plasma agriculture is the development of technologies that are amenable to effective application to widely varying food commodities. DBD, plasma jet, gliding arcs, corona discharges and other plasma forms have been evaluated on a laboratory scale. Moving these and other technologies to larger scales will help achieve the ultimate goal of safe and sustainable agriculture will provide a means to better control human food cycles.

Concluding remarks.

Plasma agriculture is an innovative field that applies plasma to agriculture processes such as farming, food production, food processing,and food preservation. Before this technology can be widely applied in the industry, we must understand the mechanisms by which low-temperature atmospheric-pressure plasma inactivates microbes, alters seed germination and enhances plant growth. In-depth analysis based on plasma science, molecular biology, plant physiology and food microbiology are the keys to successful commercialization. Specifically, the impacts on food safety and quality, worker health and safety issues and the economics of implementation will inform technology development that meets the unique needs of plasma agriculture.

9. Medical applications

Hans-Robert Metelmann1 and David B Graves2

1 Greifswald University Medicine

2 University of California-Berkeley

Status.

The field of plasma medicine, including hygiene, is among the fastest growing of the current set of applications of low temperature plasmas. The key question of how best to design and operate the plasma source for optimal biological applications remains a challenge in the field. This challenge has two parts. First, the physics and chemistry of different plasma devices are far from fully understood. Second, the mechanisms by which plasma alter biological cells, tissue and organisms are not well established.

The first plasma sources received CE certification (in the European Union) as medical devices in 2013. These devices are the RF powered Ar jet source 'kINPen MED' (neoplas tools GmbH, Greifswald, Germany); the microwave powered Ar-plasma torch 'MicroPlasSter' (ADTEC, Hunslow, UK) and the air dielectric barrier discharge 'PlasmaDerm' device (CINOGY GmbH Duderstadt, Germany). Many other studies have focused on both modeling and diagnostics for a suite of laboratory devices. Perhaps most important, limited clinical tests have begun on humans and palliative treatment of cancer patients with contaminated ulcera, although more work needs to be done on animal models before large scale trials can commence [86].

In the 2012 Roadmap, it was reported that '... the fundamental understanding of the interaction between the plasma and living cells, tissues and organisms is lagging...', and that this lack of fundamental understanding represented a significant barrier to the development of large scale clinical trials of plasma medical devices and procedures. Significant progress has been made in the field and we summarize some of that progress, as well as some of the outstanding challenges that remain.

The key role of reactive oxygen and nitrogen species (RONS) in plasma biomedicine has become clearer in the last several years. It is now recognized that studies of RONS-based intercellular signaling may help plasma medicine establish a more rational basis for the observed effects of plasma-generated RONS on living tissue [87]. The role of RONS in existing non-plasma therapies associated with wound healing, dermatology and cancer treatment has also helped highlight the role of RONS in plasma therapies. RONS are known to act in a wide variety of intercellular and intracellular processes.

An example of the progress made in developing models of RONS creation is shown in figure 16 [88]. Schmidt-Bleker et al modeled a shielded plasma jet device (Ar-operated kinpen) and compared their predictions to various measurements. Their kinetics model first computed the fast, electron-impact reactions, and then coupled these results with predictions of plasma chemical processes in the visible plasma plume and the downstream region in a second neutral reaction kinetics model. The results in figure 16 are a comparison of the predicted primary RONS generated by a single guided streamer with admixtures of Ar with small concentrations of dry synthetic air. The study suggests that, under these conditions, the Ar metastable precursors play an important role in creating RONS.

Figure 16.

Figure 16. Results from a model of a shielded Ar kinpen device at atmospheric pressure with predictions of RONS densities generated by a single guided streamer at dry synthetic air mole fractions 10−4, 10−3 and 10−2. Contributions from direct electron impact reactions and reactions involving excited argon species are distinguished: note the importance of Ar metastables as reactive precursors in this study. Reproduced from [88]. © IOP Publishing Ltd. All rights reserved.

Standard image High-resolution image

Current and future challenges.

In biological interactions, gas phase species must generally interact with liquids. One example is in the creation of plasma-activated medium (PAM). PAM is an important new development that is challenged by the poor current understanding of plasma–liquid interactions [89]. The precise chemical changes induced by the plasma treatment of the cell culture medium are only dimly understood at present, but it has been demonstrated that this indirectly applied plasma treatment has the potential to act therapeutically. This potentially versatile approach offers the possibility, for example, to treat cancer that has spread over relatively larger areas or is associated with sensitive organs and blood vessels.

Advances in using therapeutic pulsed electric fields appear to be related to plasma success in gene transfection, transdermal drug delivery and possibly to other effects. The magnitude of typical electric fields experienced at tissue surfaces was shown to be comparable with field strengths that are known to be biologically important [90]. One of these possible effects is the observation that plasma jets applied to mouse skin induces a significant increase in local blood flow and blood O2 content [91]. A similar result for human subjects was associated with the plasma-induced generation of nitric oxide (NO) [92]. Alterations in local blood flow can transport the localized effects of plasma treatment to more distant regions; a possibility that could strongly alter our view of plasma as a strictly local effect. In all cases, the toxicity of plasma treatment should be assessed.

Miller et al have demonstrated that plasma can induce immunogenic cell death in tumors exposed to the plasma, leading to activation of macrophages, generation of tumor-specific antigens and associated cytokine release [93]. If plasma therapies are developed that can controllably modulate immune responses with minimal side effects, the potential for developing important new plasma-based therapies will be greatly advanced.

The question of how to define the 'dose' associated with plasma treatment is one of the current important topics in the field. Plasma appears to act via multiple pathways, and the type of device and target tissue both play crucially important roles in affecting the outcome of the treatment. A meaningful definition of the plasma dose will probably require that the mechanisms of plasma therapeutics be more fully understood. A related topic is the safe and effective control of plasma devices in a medical context, despite their non-linear, multivariable, often stochastic and generally complex nature.

The dose can be difficult to define even in more well-established fields. For example, the biological effects of low doses of ionizing radiation remains a topic of study even after decades of extensive study [95]. It is also possible that the plasma dose is fundamentally different than a dose associated, for example, with conventional drug ingestion. Furthermore, plasma exposure probably induces a biological response that involves a kind of 'feedback effect,' complicating interpretations.

A serious complication in plasma treatment of biological material like tissue is that the physical and electrical properties of the material alter the plasma itself. This is illustrated in figure 17, which shows how the plasma ionization wave that impacts the surface of a conducting layer (mimicking tissue) includes an ionization wave ('plasma bullet') that originates at the substrate and moves back in the direction of the tube and electrode [94]. It seems clear that the delivered flux of species will, in general, be altered by the nature of the tissue. Perhaps the key issue that continues to challenge the field is to precisely quantify the coupled interactions between the plasma and the biological system.

Figure 17.

Figure 17. Pulsed plasma jet behavior depends on the electrical properties of adjacent surfaces. Illustration of time- and space-resolved optical emission when a plasma 'bullet' interacts with a conducting substrate. At about 300 ns, a 'return' plasma bullet is observed that originates at the substrate and stops about halfway to the tube edge. ICCD snapshots following the plasma 'bullet' propagation, with a conducting target 12 mm from the tube outlet, with 50 ns delays after emission was first detected at the tube outlet. The 'plasma gun' operating conditions: 2 kHz, 14 kV, 1 lmin−1 flow of He into air. Reproduced from [94]. © IOP Publishing Ltd. All rights reserved.

Standard image High-resolution image

Advances in science and technology to meet challenges.

The major challenges in the field require the development of significant new approaches. How are we to envision future studies that address the key questions associated with plasma-biological systems? Plasmas alter biological systems through some combination of reactive species, electric fields, charges and currents, photons, heat and mechanical stresses. One essential idea is that these effects are likely to be synergistic; their effects depend on the presence of multiple components. Pulsed electric fields are known to be important in biology, but what happens when these pulsed fields are combined with reactive chemistry and photons, for example? This is unknown territory.

In the past, low pressure plasma–surface interactions were fruitfully studied in so-called vacuum beams systems in which the plasma–surface interactions were simulated using externally created and controlled beams of ions and reactive neutrals. Can we envision an analog to these studies for atmospheric pressure plasmas interacting with cells?

One possibility is to define in vitro experimental systems in which the effects of the plasma on the liquids covering the cells can be controlled and manipulated. Experiments that delineate the separate and synergistic roles of the plasma, the medium and the cells should be possible. These systems would be sufficiently simple that mathematical models of the coupled gas and liquid reacting flow could be combined with metabolic and gene response models of the cells. Such systems could form the basis for a truly quantitative understanding of simple, well-controlled plasma-biological interactions.

Concluding remarks.

Therapeutic applications of plasma include various treatment goals, such as wound and skin decontamination, promotion of wound healing, cancer remission, control of wound-resident multi-drug resistant bacteria and dental and cosmetic applications, among others. However, the unique advantages of plasma therapies compared with other therapies must be identified and exploited. These have both plasma science and biological components. Promising new developments in the control of effects, such as blood flow/O2 content and immune response, must be carefully investigated. Plasma-unique synergies between electric fields, charges and reactive species must be more fully explored and understood. It must be emphasized that the role of plasma science in advancing this agenda is at the heart of the field.

10. Environmental applications

Akira Mizuno1 and Selma Mededovic Thagard 2

1 Toyohashi University of Technology

2 Clarkson University

Status.

The two most widespread industrial applications of atmospheric pressure non-thermal plasma (NTP) in environmental remediation include ozone generation [96] and electrostatic precipitation (ESP) [97]. While both technologies were discovered more than a century ago, significant research efforts remain focused on improving the efficiency of these two processes. Since the early 20th century, ozone has been used as a disinfectant and oxidant in municipal water treatment processes around the world. Concurrently, ESP continues to be the devices of choice for controlling emissions of industrial particulate matter.

NTPs have shown great promise in treating vehicle exhaust gas, both gasoline and diesel. The process has been applied for treating NOx, short- and long-chained hydrocarbons, volatile organic compounds (VOCs) and elementary mercury [98]. Due to their unique ability to selectively initiate a variety of processes, NTPs could also be an important technology for converting CO2, CH4 and syngas into value-added products, such as hydrogen and hydrocarbons [99].

Plasma sterilization and decontamination of surfaces and liquids, including waste and food, are perhaps some of the most promising but unfortunately least developed applications of NTPs. Furthermore, ammonia and nitrogen-based compounds, which can be synthesized from atmospheric nitrogen by plasma-assisted nitrogen fixation at moderate temperature and pressure, represent attractive hydrogen carriers that can be used for transportation of hydrogen and/or on-site reduction of NOx in exhaust gas.

Current and future challenges.

For many of the applications involving plasmas, process selectivity, conversion and/or energy efficiency are still not sufficient to justify the large scale use of NTPs. Process scalability has also proven to be a challenge. Considering that NTP processes are heterogeneous systems, the development of all NTP applications requires overcoming the following common challenges: (1) how to control the characteristics of the plasma and the accompanying chemical reactivity? and (2) how to optimize and scale up the process while maintaining energy efficiency? Solving these challenges requires developing an understanding of the fundamental plasma–surface interactions in an integrated approach that combines new computational strategies and diagnostic techniques. Another challenge lies in bridging the gap between the fundamental science and process development and optimization.

For plasmas that are contacting solid surfaces, such as in catalytic NOx reduction and fuel conversion, short lifetimes of reactive species and therefore fast recombination rates (effective and diffusion length scales), as well as unknown key chemical reaction pathways that lead to the formation of the desired products, pose significant limitations on the technology development [100]. For further improvement of the process efficiency, it is also necessary to understand the synergetic effect of combining the plasma with a catalyst, which includes precise measurements and modelling of excited, ionized species and radicals in the plasma, reaction time scales and the nature of the species interaction with the surface of the catalyst (e.g. species pore penetration depth) and the electrode [101]. In packed bed reactors, an example of which is shown in figure 18(a), streamer propagation along the surface of a catalyst pellet is found to be influenced by the material of the catalyst and the type of metal nanodots present on its surface, which additionally affects the process performance.

Figure 18.

Figure 18. NTP combined with a catalyst. Reprinted from [102], Copyright 2013, with permission from Elsevier. (a) Packed bed filled with catalyst pellets. (b) Discharge inside a honeycomb catalyst.

Standard image High-resolution image

For plasmas that are contacting liquid surfaces, such as in plasma water treatment, the main challenges relate to the lack of fundamental knowledge on the quantities of radicals produced by the plasma, mechanisms by which these radicals further recombine and, when present, chemically transform organic (bio-) compounds in the bulk liquid. This includes the exact location of the interactions and the importance of physical transport processes and the interfacial dynamics. It is also essential that the scientific community establishes a working definition of the plasma–liquid interface.

Advances in science and technology to meet challenges.

Strategic process design modifications will play an important role in making NTP competitive for industry. As an example, the combination of NTP with a catalyst represents an effective approach to improve the product selectivity and energy efficiency of various NTP processes. One example of how plasma can be combined with a catalyst to decompose VOCs, oxidize carbon soot, NO and CO is a packed bed system shown in figure 18(a). However, commercial NTP systems, especially for NOx control of vehicle emissions, require a low pressure drop and strength against mechanical vibration, which packed bed reactors often do not warrant. To meet these requirements, pellet-type catalyst can be replaced by a honeycomb catalyst without affecting the process efficiency. However, homogeneous generation of the electrical discharge inside a honeycomb has been a challenge. One way to overcome it is to use a sliding discharge inside a ceramic honeycomb, as shown in figure 18(b) [102]. A DC electric field extends the surface discharge streamers across the honeycomb from one end to the other while ionizing the gas inside the honeycomb's fine channels.

For fuel reforming and CO2 conversion, packed bed reactors with suitable catalysts show promising performance. In order to more effectively utilize the catalyst and improve the conversion efficiency of these stable molecules, gliding arc and micro-wave discharges, which form highly non-equilibrium plasmas and are characterized by high ionizing rates, should be the discharges of choice.

Future investigations involving plasmas and liquids should focus on generating new insights and a novel means of analyzing the interface of the plasma contacting the liquid (see, as an example, figure 19, where reactive molecular dynamics simulations were used to investigate the interaction mechanisms of reactive oxygen species with a liquid layer [103]).

Figure 19.

Figure 19. Interaction of reactive oxygen plasma species with a liquid film: reactive molecular dynamics simulations. (a) Water slab as the model system under study and its mass density profile (the far left figure in (b)), which shows that there are two interfaces, i.e. above and below the water slab, where the density increases or decreases along the z-axis; (b) Trajectories of incident OH, H2O2 and HO2 species in water. All species are impacting from the top of the water slab, as indicated by red arrows. Reproduced from [103]. © IOP Publishing Ltd. All rights reserved.

Standard image High-resolution image

To that end, it is insufficient to investigate the plasma, the bulk liquid and the interface separately. A multidisciplinary approach that combines plasma chemistry and bulk liquid chemistry measurements with fluid dynamics investigations should become a new norm for approaching these complex types of problems. Model predictions should be verified by bulk liquid and bulk gas concentration measurements of stable species. Computer simulations based on density functional theory have shown to be quite useful in studying the orientation of organic molecules at the plasma–liquid interface and determining the spatial molecular concentration distribution in the bulk liquid [104]. Physical transport processes of a moving fluid, such as mass and momentum transfer (i.e. mixing), also play an important role in the interfacial chemistry. Both particle image velocimetry (PIV) and laser-induced fluorescence (LIF) imaging can be used to study the roles bulk liquid processes playing in the transport of species across the interface and their nature of interaction with organic molecules.

Concluding remarks.

NTP is a versatile processing technology with various environmental applications. Making NTP processes competitive requires developing an understanding of the fundamental plasma–surface interactions and utilizing this knowledge to make informed process design modifications. Until new computational strategies and diagnostic techniques are developed to assist with these challenges, a more systematic comparison of the interfacial physical and chemical plasma processes with those occurring at interfaces of established (industrial) gas–liquid and gas–solid processes may yield novel insights. Finally, it is noteworthy to mention that thermal plasmas have also been used for a variety of environmental applications, including plasma gasification, and are already used in industry [4].

11. Plasma-assisted combustion and chemical conversion

Svetlana Starikovskaia1 and M C M van de Sanden2

1 LPP, UMR7648, Ecole Polytechnique

2 Dutch Institute for Fundamental Energy Research (DIFFER) and Eindhoven University of Technology

Status.

The use of low temperature plasmas (LTPs) for chemical conversion has a rich history [105107]. Plasma remediation of CO2, plasma hydrogen generation from H2S and plasma aided nitrogen fixation are examples of LTPs being used to convert the chemical composition of gases with the goal of providing environmentally more attractive alternatives to conventional processes. Given the current environmental concerns, the field is experiencing a resurgence following earlier research in the 1970s and 1980s [107]. A reason for the revival is the renewable energy revolution, providing abundant green and CO2 neutral electricity. In this vision of the future, plasma can act as a processor of electricity into chemistry, providing pathways that are environmentally friendly. Ideally, one might want to replace less environmentally friendly conventional processes with potentially more efficient plasma based processes. From a practical perspective, the first step is to improve the efficiencies and lower the environmental impact of conventional processes. Combustion is an example of a conventional process that can be improved using LTPs. Initiation of combustion of extra-lean mixtures or with increased exhaust gas recirculation, controlled ignition in homogeneous charge compression ignition engines and improvement of cold startup are examples of applications of plasma assisted ignition (PAI) or combustion (PAC), which will potentially improve efficiency or lessen environmental impact. A complementary approach to PAC/PAI is plasma chemical conversion (PCC) of gases, including dry reforming or plasma pyrolysis of CH4 and management of CO2. For example, the dissociation of CO2 into CO and O2 is a first step in using CO2 as a feedstock for fuels and chemical feedstock (such as methanol). These plasma-activated-processes generate high density fuels, feedstock and materials and possibly high temperature heat using a CO2 neutral energy infrastructure.

Current and future challenges.

In triggering and guiding plasma chemistry, it is important to understand the role of the different modes of internal excitation (rotational, vibrational and electronic excitation) of atoms and molecules in lowering the activation energy and accelerating the reaction kinetics [107]. Different scales of energy, from fractions of an eV for the excitation of molecular vibrations to tens of eV for the excitation of electronic levels of atoms and molecules, may need to be considered in designing a system for PAC/PAI or PCC.

For example, the most efficient method for fragmentation of pure CO2 is dissociation from the vibrational quasi-continuum formed by vibrational–vibrational (VV') exchange under conditions that hinder vibrational–translational (VT) relaxation: ${{\tau}_{\text{V}{{\text{V}}^{\prime}}}}$   >  τVT [105, 107]. The highest experimentally obtained energy efficiency, ≈90%, was measured in supersonic expanding CO2 microwave plasma (see figure 20) [106, 107]. Although the efficiency is high, the total conversion is low, around 5–10%. Approaches which enable reasonably high energy efficiency and high conversion are needed. Achieving this goal requires research into the control of the electron energy distribution function [106]. The prior knowledge base from CO2–laser applications will also be valuable for future efforts.

Figure 20.

Figure 20. The energy efficiency of CO2 dissociation for various plasma reactors (CCP capacitively coupled plasma, ICP inductively coupled plasma and microwave plasmas (flow and supersonic expansion)). Data taken from [106, 107] with recent results from the DIFFER institute using flowing microwave reactors.

Standard image High-resolution image

To scale up and industrialize PCC, a detailed understanding is needed of plasma processes in (sub-) atmospheric pressure mixtures with complex gas flow patterns that produce high efficiency and high throughput. The final reactor design will also need high energy efficiency and high conversion efficiency in separating the gas stream into its constituents. The role of volume versus surface processes needs to be addressed and the role of using active surfaces, i.e. surfaces having catalytic action should be analyzed. The problem is multi-parametric, and it is not clear if 'classical' dissociation using the vibrational continuum is the most efficient way or if other trade-off schemes to reach overall high energy and conversion efficiencies can be utilized [108]. Although the present focus is spurred by the interest in CO2 conversion, particularly in Europe, these comments apply to the entire range of PCC, from the conversion of volatile organic compounds VOCs to the processing of hydrocarbons.

A similar set of conditions apply to PAC/PAI, though with one important exception. In PCC, the plasma is typically used in a continuous process. In PAC/PAI, high E/N are considered to be the most efficient, and so the plasmas usually use short pulses to maximize the E/N. Over the past five years, great progress has been made in understanding the fundamental physico-chemical effects of plasmas on combustion [109], recently discussed in reviews [110, 111]. It is shown that high E/N, approximately twice that for the breakdown threshold, are optimal for the triggering of combustion. These fields provide efficient energy deposition into electronic excitation, resulting in oxygen dissociation by electron impact and additional dissociation in collisions with electronically excited atoms and molecules. A key point of PAI at high E/N is that combustion starts as a partial oxidation of the gas mixture. Fast heat release in the zone where combustion is triggered is needed to develop the flame. The heat release in a high energy density plasma is governed by collisional relaxation of electronically excited species providing, at 1 atm pressure, a high heat rate, possibly as high as 5  ×  1010 K s−1. Efficient dissociation and heat release are the main reasons for significant chemistry modification, even at low temperatures. A recent review [112] discusses low-temperature PAC, kinetics of atomic oxygen in mixtures containing heavy hydrocarbons and the modification of the classical ignition S-curve under the action of non-equilibrium plasma. The experimental and theoretical research in PAC/PAI initiated by nanosecond pulsed discharge is summarized in [113].

Advances in science and technology to meet challenges.

Although PAC/PAI and PCC have origins in different technology communities, they have common science and technology challenges—the control of chemical reaction pathways in high pressure gas mixtures. To further understand these common processes, modelling will be important. There are challenges in reducing the detailed state-to-state modeling of the vibrational distribution function to a more compact form to enable multidimensional modeling [108, 114], or utilizing Fokker–Planck methods, which treat the vibrational excitation space as a continuum [105, 107]. In this respect, many of the chemical reaction rates in which ro-vibrational excitation is present in the primary products are unknown. This needs further attention from, for example, ab initio approaches to calculate the rate coefficients more accurately than are currently available [107]. This modeling is essential to guide the experiments and to understand the excitation/deexcitation and dissociation mechanism for complex hydrocarbons in the case of PCC/PAI and, for example, CO2 in PCC. The importance of electronic excitation and dissociation versus vibrational excitation and the quenching of the dissociation products are common challenges for both PCC/PAI and PCC. Therefore, numerical modeling of these complex reacting plasma flows will be one of the main challenges. The plasma related challenges include the separation of the gas stream or further processing of the dissociated gas flow. Plasma–surface interactions may be important in the downstream flow, which contains relaxing vibrationally excited molecules, and which links to aspects of plasma-catalysis.

Improving the diagnostics and producing scaling laws are required for any plasma-chemical process that is ultimately of industrial interest. For example, the available PAI/PAC experiments in fuel-containing mixtures are summarized in figure 21. Most experiments have been performed below atmospheric pressure. At high-pressure, the effects of minimizing the discharge zone and the potential increase of specific power may factor into the development of these necessary scaling laws. High specific energy deposition at high pressure may affect the kinetics that appear to dominate at low pressure and produce additional hydrodynamic effects, triggering more efficient ignition or combustion. Similar effects likely occur in the study of PCC. Advanced laser diagnostics have enabled an improved understanding of the kinetics of dissociation and temperature release in nanosecond discharges. Further research in high-pressure conditions may need femtosecond quenching-free laser spectroscopy (LIF, TALIF). Kinetics of fast atoms and of energy transfer from excited species on a nanosecond scale in complex mixtures will be challenging for these diagnostics.

Figure 21.

Figure 21. PT diagram [113] of results available in the literature from experiments on combustion initiated or assisted by nanosecond discharges. Dashed lines are the isolines of the gas density, normalized with respect to the atmospheric gas density natm for normal conditions. Reproduced from [113]. © IOP Publishing Ltd. All rights reserved.

Standard image High-resolution image

Concluding remarks.

The use of LTPs for influencing high pressure chemistry has the potential for developing new environmentally friendly processes using renewable electricity and improving current chemical processes. PAI/PAC and PCC share many scientific challenges in reaching these goals—detailed kinetic research, linking the physics and chemistry of discharges at high pressures, combustion and hydrodynamics will be key issues. Ultimately, scaling laboratory processes to industrial scales will require advances in diagnostics and comprehensive numerical modeling.

12. Aerospace applications: propulsion and flow control

Stéphane Mazouffre1 and Eric Moreau2

1 CNRS—ICARE

2 University of Poitiers

Low temperature plasmas in the aerospace industries have a long history in materials processing, beacons, communication, re-entry physics, hypersonic flow and plasma aided combustion. Although the high temperature exhaust of both turbines and chemical rockets contain ionized gas, it took the development of electric propulsion (EP) and flow control (FC) to emphasize the importance of LTPs to energize a gas for the purposes of generating a force which translates to controlling motion. In EP, this force, carried by ions, is the primary thurst to accelerate a vehicle in space. FC is an atmospheric process in which the force transferred from ions or deposited as heat in the flow over an airfoil enables the modification of the flight characteristics of an aircraft. To the degree propeller and turbine blades are also airfoils, FC may extend well beyond airplanes. Although EP and FC operate in different environments, they share the same goal of using plasma generated forces as a momentum transfer mechanism to affect flight characteristics.

Electric propulsion

Status.

The biggest difference between EP and chemical propulsion is not necessarily the state of the matter expelled to generate thrust, but the power source. Contrary to chemical propulsion, in which the energy is stored in the propellant chemical bonds, EP relies on external energy sources. Separating the source and the propellant allows for very large power densities, which translates into a high propellant exhaust velocity. The latter is responsible for the low propellant mass consumption of EP devices [115]. Although electric (or 'plasma') thrusters deliver a low thrust, they are the best options for various types of spacecraft manoeuvres and missions, such as orbit transfer, trajectory correction and interplanetary missions.

The development of EP dates back to the 1960s. Since then, EP has evolved gradually, with the emergence of many architectures and the use of EP on hundreds of satellites and space probes. However, the full potential of EP has only just begun to be realized. The increase in the available power on-board spacecraft has accelerated the development of all-electric communication satellites, while realizing ambitious missions. The recent trend in access to space, which combines the constellations of small satellites, as well as micro- and nanosatellites, requires the development of efficient miniaturized EP systems.

The most advanced technologies are the gridded ion engine and the Hall thruster [1]. Ion engines deliver a high exhaust velocity, but the thrust is limited. Hall thrusters offer a larger thrust-to-power ratio [115]. Numerous studies are aimed at improving performance and capabilities. For instance, the development of the Hall thruster configuration termed 'magnetic shielding' has led to a drastic improvement in thruster lifetimes [115, 116]. Likewise, the wall-less configuration may potentially provide a means for reducing the wear of the Hall thruster assembly [115]. In parallel, several new cathode-less concepts have emerged in recent years to offer simple, reliable and low-cost solutions for small and micro-satellites, such as the PEGASES thruster, the ECR thruster, the Vacuum-Arc Thruster and liquid-fed Pulsed Plasma Thruster [115, 117].

Current and future challenges.

Near-term challenges can be categorized by the EP system input power. Long life span, high-power thrusters (>20 kW) are needed for propelling large spacecraft and interplanetary probes. A promising approach is the nested-channel Hall thruster, see figure 22, in the magnetic shielding configuration [115]. The architecture was validated at 20 kW and present research is aimed at operating above 100 kW [115]. In the MW power range, studies on magneto-plasma dynamic devices and on the VASIMR rocket must be pursued. In addition to a high-thrust level, dual-mode capability is also of relevance to optimize mission profiles. Concerning low-power engines, 1–100 W, efficient and compact sources are required for small satellites. Miniaturization of existing flight-proven architectures is one means of achieving this [118]; another is the development, optimization and qualification of cathode-less systems. Another critical aspect of EP is identifying alternative propellants to xenon to reduce the overall cost of EP while prolonging the mission duration.

Figure 22.

Figure 22. Front view of the 10 kW class X2 two-channel nested Hall thruster with its centered-mounted cathode firing with xenon at full power in dual channel configuration. Image courtesy of Ray Liang, reproduced with permission.

Standard image High-resolution image

Advances in science and technology to meet challenges.

From a fundamental viewpoint, an in-depth study of the physics of LTP discharges, the core of EP devices, is necessary. Priority must be given to electron transport, turbulence and confinement in magnetized discharges. A better grasp of plasma-wall interaction is also necessary to refine sheath models and to better assess wear processes. This requires accurate data on material properties, such as secondary electron emission and sputtering yields. Theory and experiments must be combined with sophisticated computer simulations. The development of powerful 3D codes with predictive capabilities has become a necessity. From a more technological standpoint, two aspects are relevant: the manufacturing of new materials, which includes cathode emitters, to extend both thruster lifespan and operating envelope and the improvement of power supplies in terms of efficiency and mass. Finally, yet another critical point is the influence of ground-test facility effects on performance. Diagnostic standardization and direct testing in space for miniature plasma thrusters may provide answers.

Flow control

Status.

Electrical discharges for aerodynamic applications have been widely studied during the last three decades. Starting from the 1990s, research has been conducted on thermal plasmas to modify transonic and supersonic flows occurring around vehicles or projectiles [119]. Since 2000, research has focused on surface non-thermal plasma for the control of subsonic airflows [120122]. In aeronautical applications, the aim can be, for instance, to reduce skin-friction drag during cruise flight conditions. There are several types of laboratory-scale plasma actuators, the most common being a surface dielectric barrier discharge (SDBD). When a SDBD is powered by ac voltage, an electrohydrodynamic force is produced, resulting in an electric wind-based wall jet. SDBDs can produce forces up to 400 mN m−1 and electric wind velocities up to 10 m s−1 in atmospheric air. If instead the HV has a nanosecond repetitively pulsed waveform, then gas heating results in a pressure wave of a few kPa per mJ cm−1 [123]. In both cases, energy is transferred to the flow either as heat or force. When a SDBD is mounted onto a profile wall, one of the two phenomena can modify the boundary layer, resulting in the control of the whole flow around the profile body. Over the last 15 years, SDBD have been successfully demonstrated in most aerodynamic conditions of interest to academic and industrial research, up to Reynolds numbers of a few million. However, although SDBD plasma actuators can operate in cruise flight conditions, so far their development has remained in the laboratory research phase.

Current and future challenges.

The main challenge for using plasma actuators in real aeronautical applications is to demonstrate their capability to control airflow at high Reynolds numbers. The main advantage of SDBDs is their ability to operate at a wide range of single or multiple frequencies. The ac SDBD is a linear electromechanical converter since the temporal behaviour of the electric wind follows any HV waveform. The SDBD is thus a multi-frequency actuator with a short response time, which is useful for efficient real-time closed loop airflow control. However, the use of SDBDs is limited to the control of subsonic airflows, because they are usually employed in simple geometries and at the centimetre scale. Since near-wall flows can be controlled with little energy if directed with precision at the proper location and at the right time, one can imagine new plasma actuator designs, such as matrices of densely packed surface micro-plasmas, which can deposit energy at any location on the wall, at any time. The result is a multi-scale spatio-temporal actuator.

Advances in science and technology to meet challenges.

The first advance needed for using plasma actuators in real aeronautical applications is efficient, high Reynolds number CFD models to determine how, where and when to act on the wall. Ideally, a self-consistent plasma model including air chemistry should be coupled to a DNS fluid mechanical model, which is computationally expensive because the time and space scales are very different in plasma physics and fluid mechanics. The second advance is to find new applications for plasma actuators. On the one hand, because plasma actuators cannot operate in the rain, use at ground level (on the blades of a wind turbine or on the surface of medium altitude unmanned aerial vehicles for instance) has many challenges outside very dry locations. Besides, discharges in atmospheric air produce unwanted ozone. On the other hand, because electrical discharges can be sustained in severe pressure and temperature conditions, plasma actuators may be well suited for applications in engines and, more generally, in process systems engineering. Indeed, since the electrohydrodynamic force becomes a dominant fluid force at small scales, we can anticipate new actuator designs applied to small-scale devices. For instance, a new actuator based on a plasma actuated grid composed of millimetre-scale holes, see figure 23, has been recently investigated for mixing enhancement. Promising results have been obtained for velocities up to 60 m s−1 and this new actuator may be very useful for enhancing combustion inside engines. Also, plasma gas micro-pumps installed in micro-channels could be effective tools in microfluidics. As for liquids, a new avenue of research could be opened with electroaero-microfluidics. Another advance would be plasma actuators as a laboratory tool for fundamental research in fluid mechanics. Indeed, no other actuator has similar spatio-temporal flexibility, making the plasma actuator an efficient tool for manipulating and understanding turbulence and stability phenomena.

Figure 23.

Figure 23. Front view of the plasma actuated grid composed of 1.8 mm diameter holes.

Standard image High-resolution image

Concluding remarks.

EP and FC remain two very active fields of research that combine the physics of plasmas with high-tech applications. Although EP is increasingly used for satellites, there remains a great need for the development of new devices able to deliver very low, as well as very high, thrust. On the contrary, FC has not yet reached industrial maturity and future works will aim at validating the plasma actuator concept in real conditions of use.

13. Thermal plasma applications

Anthony B Murphy1 and Vittorio Colombo2

1 CSIRO Manufacturing

2 Alma Mater Studiorum—Università di Bologna

Status.

The properties of thermal plasmas (high heavy-species temperatures—typically 10 000 to 25 000 K, high heat flux densities, high electron and reactive species densities and strong radiative emission) allow them to be widely applied in industry. We focus on three of the most important applications: arc welding, plasma cutting and plasma spraying. Other applications include arcs in circuit breakers, arc lighting, trace element analysis using ICP-OES and ICP-MS (inductively-coupled plasma—optical emission spectroscopy and mass spectrometry: discussed in detail in the 'Plasmas in analytical chemistry' section), waste treatment and gasification, electric arc furnaces and other methods for mineral processing, nanoparticle synthesis and particle spheroidization.

While welding, cutting and spraying are mature technologies, innovation continues through the development of new approaches and in response to the demands of industry. For example, the requirement to join thin sheets of metal has led to the development of low-current arc welding technologies, and the growth of additive manufacturing has seen the adaptation of arc welding to rapidly build complex metal components. In plasma cutting, the ever increasing need for higher productivity and cutting quality has directed research towards the improvement of electrode and nozzle lifetimes and process control, especially during the transient phases of the cutting process. The need for thinner coatings with finer microstructure, which are more accommodating of thermal and mechanical stresses, has motivated the development of relatively-new plasma spray processes, including solution and suspension spraying, very-low-pressure plasma spraying (VLPPS) and plasma spray—chemical vapour deposition (PS-CVD) [124].

Researchers have also focussed on increasing their understanding of the complex plasma–surface interactions occurring between the plasma and electrodes, for example, the production of metal vapour. The first efforts to model the influence of metal vapour in arc welding used simplifications, such as assumed distributions of the metal vapour production near the tip of the wire electrode or time-averaged distributions. Recent progress has allowed self-consistent time-dependent calculations, as shown in figure 24 [125], and dynamic spatial imaging of the arc temperature and composition and of the temperatures of molten metal surfaces [126]. High-speed imaging and flow visualization techniques are also widely used as qualitative or semi-quantitative tools for process investigation and optimization [126128].

Figure 24.

Figure 24. Calculated distributions of arc temperature (left) and iron vapour mass fraction (right) at different times in pulsed metal–inert-gas welding of iron. The arc current at the successive times is 50, 420 and 150 A, respectively. The changes in location of the iron vapour production as the arc current changes lead to changes in the distribution of current density and temperature in the arc. Springer [125] © International Institute of Welding 2016, with permission of Springer.

Standard image High-resolution image

3D models have become well established. In some cases, deviations from local thermodynamic and chemical equilibrium are considered, although typically only in simpler cases, such as tungsten–inert-gas welding arcs in a single gas.

Current and future challenges.

Modelling and measurement of non-equilibrium regions of the plasma, which include sheaths and the arc fringes, continue to be of strong interest. In VLPPS and PS-CVD, almost the whole plasma is out of equilibrium. Measurement techniques that do not rely on local thermodynamic equilibrium, such as Thomson scattering [129], have great potential here. Modelling regions far from equilibrium, and in which fluid methods may not be applicable, is a significant challenge; techniques, such as collisional–radiative models and the approaches used in modelling cascaded arcs expanding into low-pressure volumes, may be appropriate.

The interactions between the plasma and electrodes are critical in all three applications. The sheath region is instrumental in determining the location and area of attachment of the arc to the electrodes, the heat transfer to the electrodes and the arc voltage. These factors in turn determine the melting and cutting of the metal, erosion of electrodes, metal vapour concentration and arc stability. There is still no consensus among modellers as to the most appropriate treatments of the sheath region; in particular, the mechanisms for electron production from non-refractory cathodes remain a subject of debate [130]. Further, the influence of metal vapour and gas mixtures on the sheath has only just begun to be explored.

The role of metal vapour requires further investigation from several other points of view. One example is the vaporization of alloy materials, particular those, such as Al–Mg alloys, in which the components have significantly different boiling temperatures [130]. A second example is the interaction of the arc and the anode workpiece in plasma cutting. While some progress has been made in measuring these interactions [131], much plasma cutting research stems from the requirements of companies that produce cutting systems, and so focuses on the cathode and nozzle behaviour and on arc stability. The factors that determine the anode attachment location are not yet fully understood [128], and researchers are still working on linking arc simulations with the consistent prediction of kerf formation and cut quality.

Measurements and modelling of some welding techniques, such as metal–inert-gas and tungsten–inert-gas welding, are well advanced. However, other widely-used techniques, such as submerged arc welding, in which the arc and the weld pool are covered by a granular flux material, and shielded metal arc welding, in which the weld is shielded by the gases produced by vaporization of a flux, are far less well understood. Progress is hampered by the complex shielding gas mixtures and by the formation of a slag on top of the weld pool; in the case of submerged arc welding, the arc is completely hidden from view.

An important challenge is linking models of the plasma and its interactions with materials to models of the properties of the end-product of the process. In welding, such properties include the microstructure, and the residual stress and distortion, of the welded metal [130]. In plasma spraying, the properties of the deposited coating, including its structure, porosity, stresses and adhesion to the substrate, are critical [124]. Coupling of the plasma model to the material property model requires a transfer of information between computer codes, in some cases in both directions; this is complicated by the different meshes and computational methods used (for example, finite element analysis for residual stress calculations) and the different platforms used to run the codes.

Advances in science and technology to meet challenges.

Innovative diagnostic techniques are always in demand. A key problem is that there is no reliable method to measure current densities in thermal plasmas, even though most of the energy transferred to electrodes is carried by the electrons. The use of Hall probes to measure the magnetic field induced by the current shows promise here [126, 129]. Further development of diagnostic methods applicable to non-equilibrium regions of the plasma is also required, for example, the 2D time-dependent laser-scattering techniques that have been applied to combustion and circuit breaker arcs. Development of methods that allow access to regions that are hidden from view, such as within plasma spraying and cutting torches [128, 132], within the kerf in plasma cutting [128, 131], and beneath the flux in submerged arc welding [127], would greatly increase our understanding of these approaches. Figure 25 shows two innovative approaches.

Figure 25.

Figure 25. Innovative methods for imaging 'hidden' regions: (a) placement of a tunnel through a submerged arc weld. [127] Welding Journal, (August 2015) © American Welding Society. (b) High-speed images of piercing of the edge of a 20 mm steel plate by the plasma cutting arc with constant time steps of 0.033 ms, showing the arc root attachment. Springer [128] © Springer Science  +  Business Media, LLC 2011, with permission of Springer.

Standard image High-resolution image

In modelling, there are several areas in which advances are required; these include development of better models of the sheath region in thermal plasmas, in particular taking into account metal vapours and gas mixtures, and treatments of multiple metal vapours and gases in arcs [130]. More-sophisticated treatments of turbulence should be implemented in cutting and spraying models: recent results suggest that direct numerical simulation of turbulence may be feasible [133], but the interaction of turbulent jets and particles requires further investigation. The newer plasma spraying processes raise several critical questions, including transitions between different pressure regimes and the formation of coatings from the vapour phase in VLPPS and PS-CVD [124], and the complex interactions between the plasma and evaporating droplets in solution and suspension plasma spraying – see the 'Multiphase plasmas' section for discussion of this last point.

Concluding remarks.

Arc welding, plasma cutting and plasma spraying are all well-established industrial processes. However, the complexity of plasma–material interactions, the development of new variants to the processes and the continual pressure to improve process efficiency all provide fertile ground for continuing research and development. This ranges from a fundamental understanding of phenomena, such as turbulence, transport and the electrode sheath, to the development of models and diagnostics suitable for industrial use. Finally, there is an ever-increasing need for better integration of models, diagnostics and experimental results to reach the goal of fully-predictive tools to design and optimize industrial processes and products based on thermal plasmas.

14. Plasma diagnostics

Yi-Kang Pu1 and Uwe Czarnetzki 2

1 Tsinghua University

2 Ruhr-University Bochum

Status.

The aim of plasma diagnostics is to measure important parameters which can elucidate the characteristics of a discharge or the mechanisms of plasma induced processes. The parameter space is very broad and ranges from the velocity distribution of charged particles to the density of radicals and even the population of excited electronic or ro-vibrationally excited states. The dynamic range of these parameters can span many orders of magnitude and temporal and spatial scales can significantly vary between setups. Consequently, more than one diagnostic method is needed even for a single parameter, such as the electron density. During the last few years, many new diagnostic concepts and technologies have been developed in the community and applied to various discharge conditions. The following text provides some examples.

Measuring the velocity distribution functions of neutral atoms or ions by laser spectroscopy is based on the Doppler effect. When the laser beam and the surface are parallel to each other, measuring the velocity distribution perpendicular to the surface, although exceedingly important, would be an issue. In recent years, an effort has been made to measure the velocity distribution perpendicular to the beam. This is in principle possible by using so called vortex beam profiles where the phase front is no longer perpendicular to the beam direction. First results look promising, but still further work is required before this can be used as a reliable diagnostic tool [134].

One example of the application of absorption spectroscopy is the possible detection of solvated electrons in liquid. Solvated electrons can be introduced by discharges interacting with the surface of a liquid. There they can be involved in a rich chemistry important for the generation of radicals and ions in the liquid. These solvated electrons have an extremely short penetration depth of the order of only a few nm. The measurement of these electrons by means of absorption spectroscopy can be considered as a nice example of the application of absorption spectroscopy for an unexplored experimental condition, although the diagnostic itself is not new [35].

The state of liquid surfaces can also be probed by sum-frequency generation. It has been demonstrated recently that water molecules at the surface can be oriented by electric fields and that the interaction of a discharge with the surface can change the near order structure of the water molecules. The first measurements indicate that these diagnostics have a real potential for the future [135].

For low pressure diagnostics, the multi-pole resonance probe has become rather mature in recent years and has demonstrated its capability of measuring plasma densities under various conditions [136]. A new development is an inductively coupled plasma based sensor that can probe the electron density within the skin layer in front of the sensor [137].

Although Thomson scattering is still a complex diagnostic in low-temperature plasmas, its use has become more widespread in recent years. In particular, application to atmospheric pressure plasmas is an important development. In helium ns-pulsed discharges, He molecular Rydberg state formation has been detected for the first time by a modified Thomson scattering scheme. This might help in the future to better understand the afterglow dynamics in pulsed atmospheric pressure discharges [138]. Advances also have to be noted in other traditional laser spectroscopic fields, like two photon absorption laser induced fluorescence (TALIF) or coherent anti-Stokes Raman spectroscopy (CARS). An example for the application of ps-CARS is shown in figure 26 [139]. Particularly electric field measurement by using a CARS like scheme developed by Ochkin et al in the early 90s has now been extended and applied to a wider spectrum of atmospheric pressure plasmas.

Figure 26.

Figure 26. Population of vibrational levels in N2 measured by ps-CARS in a pin-to-pin discharge at 133 hPa. Reproduced from [139]. © IOP Publishing Ltd. All rights reserved.

Standard image High-resolution image

Challenges.

In a diagnostic investigation, for a given discharge, the important questions are usually (1) to determine what parameter should be measured, (2) the selection of the most suitable technique, (3) the precision of the measurement,(4) acquiring the data without perturbing the discharge and (5) the correct interpretation of the measured data. Recent interest in complex high pressure discharges and their applications would make seeking the answer to the first question a challenging task. These new discharges often have operating conditions very different from plasmas for which mature diagnostic technologies were developed in the past. Discharges near or in a liquid are good examples in this aspect. For the investigation of these discharges, the demand for identifying and measuring key parameters is growing. More classical diagnostics like LIF or CRDS can be used to trace important species in the vicinity of the liquid-gas interface. The challenge here is still in resolving a very thin layer and in revealing the dynamics between species created in the plasma and flowing towards the surface and species evaporating from the surface and reacting with the incoming gas and plasma. Further, an increased interest is in the detection of OH radicals and other species in liquids (figure 27) [140]. In particular, reaction schemes, transport behavior and lifetimes of reactive species are of great interest. Here, the realm of classical plasma diagnostics is left and a crossover is made to chemical analysis. Established methods often lack the required selectivity or sensitivity or cannot be performed in situ with a sufficient spatial or temporal resolution. Further development is certainly needed. The situation is complex and also in chemistry, the solvation properties of water and the impact on reaction rates are still not fully understood. Adding to this the complex properties of a plasma provides an even stronger challenge.

Figure 27.

Figure 27. Post-discharge evolution of H2O2, $\text{NO}_{2}^{-}$ and $\text{NO}_{3}^{-}$ in aqueous solution (pH 3.3) after treatment by the air discharge plasma. Reproduced from [140]. © IOP Publishing Ltd. All rights reserved.

Standard image High-resolution image

In many cases, a direct measurement of the parameter of interest is not possible. One then intends to measure other parameters and try to establish a link between them. This link has to be made by models, which are only valid when certain assumptions are satisfied. In order to make sure the models and assumptions are applicable under specific discharge conditions, an 'educated guess' of the discharge parameters is needed. Correct interpretation of the emission spectra by including all relevant processes affecting the population of excited levels falls into this category. Again, taking the discharges in the liquid as an example, pressures up to thousands of bar can be present and extended knowledge on atomic/molecular physics may be needed to understand the collisional processes and to interpret optical emission spectra.

The measurement precision depends not only on the diagnostic equipment but also on the discharge source itself. In fact, the reproducibility and the stability of a discharge have a tremendous impact on the quality of the collected data. One way of addressing this issue is by standardized stable sources. This is generally not new since the so called GEC reference cell was established about 20 years ago with exactly the same intention. A more recent example is the RF micro-discharge with the advantage of high reproducibility. As a result, measurements can be performed at different locations and times while still referring to the same conditions. This allows the application of more specialized and complex diagnostics, usually available only in certain laboratories. The recent trend of increased interest in atmospheric pressure plasma sources works in favor of this concept since the sources are rather inexpensive, small in size and can be moved or shipped relatively easily from one laboratory to another. The field could certainly benefit from more efforts in standardized sources.

Advances in science and technology to meet these challenges.

The performance and capabilities of optical and laser based diagnostics are continuously benefited by innovations in technology, as well as new commercial products with an extended range of output parameters, smaller footprint and lower cost. For both absorption and laser induced fluorescence spectroscopy, light sources with different specifications are often needed. Recent advances in semiconductor diode technology allow for commercial diode lasers with high output intensity, high stability, longer lifetime and lower cost. The wavelength of the diode laser can extend well into blue or even UV. Its small size, simple operation and lower cost make the diode laser with high power output a possible candidate to replace some of the dye laser systems. In addition, a new broadband source based on a laser driven arc is also commercially available [141]. This light source provides a flat spectrum over an extremely broad range with high stability, which is a requirement for optical absorption measurement.

For electric field measurements at atmospheric pressure based on the CARS method, fast IR detectors are required. Recently, these detectors and the necessary pre-amplifiers have been improved so that a sub-ns response time can be achieved. This allows in principle temporal resolution of the electric field within the laser pulse with a typical duration of a few ns. The application of this technology to the resolution of ultra-fast processes, like e.g. the change of the field in a streamer head, still needs to be demonstrated.

Concluding remarks.

Understanding of the basic processes involved in a diagnostics investigation of plasmas is crucial. This is because the accuracy of the obtained parameter depends strongly on the assumptions made in the process of transforming the raw data to the value of the target parameter. Correct analysis of the major physical and chemical processes in the discharge, a detailed understanding of the important factors which affect the collected data and innovative ideas on using the state of the art technology are essential for the success of new diagnostics development.

15. Plasmas in analytical chemistry

Gary Hieftje1 and Annemie Bogaerts2

1 Indiana University

2 University of Antwerp

Status.

Plasmas have become increasingly important tools in analytical chemistry, mainly as atomic and molecular sources for optical emission spectroscopy (OES) and mass spectrometry (MS). Different types of plasmas are employed, depending on the nature of the sample to be analyzed and the sort of information required. The most popular is the inductively coupled plasma (ICP), sustained by a radiofrequency (RF) supply and operating in argon at atmospheric pressure (see figure 28, left and center). It is routinely used for the elemental analysis of various types of samples in liquid, gaseous or solid states (the latter often in combination with special sample-introduction methods, such as laser ablation). When the sample to be analyzed is introduced into the plasma (as aerosol, liquid or solid particles), it will be subject to desolvation, vaporization, ionization and excitation. The ions created can be measured with a mass spectrometer (ICP-MS), while the photons emitted by the excited species can be detected by optical emission spectrometry (ICP-OES).

Figure 28.

Figure 28. Left: photograph of argon ICP used for elemental and metallomics analysis by either emission or mass spectrometry. Center: calculated sample ion density profile (color contours) and plasma temperature profile (black contour lines) in the ICP. Right: schematic diagram of the most important mechanisms in a moderate-pressure GD, used for bulk and depth-resolved analysis of solid samples.

Standard image High-resolution image

Another popular type of plasma for analytical chemistry is the glow discharge (GD, see figure 28, right), typically operating at reduced pressure (1–10 torr), again mainly in argon. It can be operated in a direct-current (dc), RF or pulsed mode. It is used mainly for solid-sample characterization, including thin-film and depth-profiling analysis, again in combination with MS or OES (GDMS, GD-OES). The solid sample is placed on the powered electrode, gradually eroded by cathodic sputtering, and so the sputtered atoms can then again be ionized and excited in the plasma.

Bursting on the plasma scene in recent years has been the re-emergence of an old tool, in the guise of laser-induced breakdown spectroscopy (LIBS) and its new sister laser-assisted molecular emission spectrometry (LAMIS) [142]. LIBS involves generating a laser-induced plasma on or near the surface of a sample; the species volatilized, atomized and ionized by the plasma can then be observed by OES, often at a distance. This standoff detection has become famous in recent years as one of the components on the Mars Rover scientific analysis package [143]. However, it is also used for routine analysis, where non-contact measurements are desirable. LAMIS is a similar method, but adjusted to provide mainly molecular emission bands, rather than narrow atomic lines. The advantage is that molecular bands exhibit a much larger isotope shift than atomic lines, so measured spectra can be used for isotope analysis, even at a distance. When coupled with laser filamentation [142], such arrangements promise the possibility of performing atomic or isotope analysis at extended distances, perhaps on the km scale.

Current and future challenges.

It is rather surprising that the argon ICP now in common use is nearly the same as the one introduced more than 40 years ago. Nevertheless, the search continues for an improved system. Shortcomings of the ICP as an emission source include its very intense and complex spectral background, against which atomic spectral lines must be quantified. Spectral interferences can thus be troublesome and compromise elemental detection. In addition, ICP analysis is subject to 'matrix interferences', meaning that the generated signal is influenced by species in the sample other than the target elements. This can force analysts to employ troublesome and time-consuming practices, such as standard addition, internal standardization or matrix-matching. In addition, the conventional ICP has a limited ability to atomize large solute particles, as are common in laser ablation. Glow discharges, in contrast, are unnecessarily limited in scope, and broader application is being pursued.

Advances in science and technology to meet challenges.

To overcome matrix interferences in ICP analyses, there is an ongoing effort to fundamentally characterize the ICP and the events that lead to signal generation [144]. Further, because RF supplies are bulky and inefficient and argon flows are substantial and costly, alternatives to the ICP are being sought. Some employ microwave magnetrons for power and are sustained in nitrogen or air [145]; commercial versions of such systems have already appeared. Other designs avoid gas flows and complex sample-introduction devices altogether, by operating directly on the surface of a flowing sample solution. Often, such systems operate with dc power, offering even greater simplicity. Two examples are the so-called solution-cathode glow discharge (SCGD; see figure 29, left) [146] and the liquid-sampling atmospheric-pressure glow discharge (LS-APGD) [147].

Figure 29.

Figure 29. Atmospheric-pressure glow discharges used in chemical analysis. Left: solution-cathode glow discharge (SCGD) for determination of metals, metalloids, small molecules and biomolecules. Center: atmospheric-pressure helium discharge as is used in the flowing atmospheric-pressure afterglow (FAPA) employed for ambient desorption/ionization mass spectrometry. Cathode (C) at bottom, anode (A) at top. Negative glow (NG), Faraday dark space (FDS) and positive column (PC) are all visible. Right: FAPA source for MS.

Standard image High-resolution image

As far as moderate-pressure GDs are concerned, although they are routinely used for depth-profiling analysis, in recent years another (lateral) dimension has been added to this information. Indeed, a pulsed power supply enables spatially resolved information about the sample surface to be obtained. If the pulse is short, sputtered species released from the surface cannot travel far before the excitation pulse is terminated. Coupling with a spatially selective, time-gated optical detector, e.g. an intensified CCD allows one to obtain lateral resolution of sample surface composition [148].

A rather recent trend is to operate a GD at atmospheric pressure. The current-voltage behavior and structural features of the GD are retained, but with reduced dimensions (see figure 29, center). Atmospheric-pressure GDs are finding application in the analysis of solid, liquid and gaseous samples, and employ various source designs. The SCGD and LS-APGD devices mentioned above are examples used for direct analysis of sample solutions, but they can also be employed with gaseous samples, using either optical or MS detection, and in the open atmosphere. A special class of atmospheric-pressure plasmas are now lumped into the general category of sources for ambient desorption/ionization and coupled with MS [149]; an example is the flowing atmospheric-pressure afterglow (FAPA) shown in figure 29 (right). These ambient MS sources include not only GDs but also coronas, dielectric-barrier discharges (DBDs) and microwave-sustained sources. When operated in helium, they produce energetic species that react with the constituents of the ambient atmosphere to generate a host of reagent ions, including protonated water molecules and clusters, $\text{N}_{2}^{+}$ , $\text{O}_{2}^{+}$ , etc. The first group of these reagents can ionize target molecules by proton transfer, while the latter group causes ionization by charge transfer. Together, they can ionize both polar and non-polar species for analysis by MS. Moreover, such ionization is ordinarily rather 'soft'; i.e. little molecular fragmentation occurs. Importantly, the beam of excited and ionized helium and the reagent ions is rather warm, or can be heated, and can thus directly desorb volatile species from a sample surface. The combination is spectacularly powerful: the ambient source can be aimed at a sample in the open atmosphere and the desorbed and ionized species drawn into an atmospheric-sampling inlet to a mass spectrometer, resulting in a 'clean' mass spectrum of species from the sample surface, without requiring any sample pre-treatment.

Regrettably, sources for ambient MS also have shortcomings, such as irreproducibility because of the inconsistencies in positioning of the source, sample and MS inlet. In addition, matrix interferences are extremely troublesome; a concomitant species in a sample, if present at high concentration or capable of intercepting protons or charges from the reagent ions, can dramatically reduce signals from target analyte species. Taming these problems is among the most active areas of research in ambient MS. Furthermore, alternative configurations are being sought that reduce helium consumption or make the source amenable to use with a broader range of sample types. As a result, there are now more than 50 alternative arrangements, each with their own acronym [149]. Importantly, some of these sources (e.g. FAPA, or SCGD) can be tuned in 'hardness', enabling them to produce mainly molecular ions, fragment ions or atomic mass spectra, alternatively [150]. They are being evaluated at present to provide information about the amino-acid sequence of proteins and about the post-translational modification of proteins [151].

Concluding remarks.

Although plasmas have been routinely used in analytical chemistry for many decades, important challenges remain, e.g. to limit spectral and matrix interferences, for which more fundamental studies are required. Prominent among such studies are the need to determine the influence of concomitants introduced into the plasma on the spatial distribution of various temperatures and species number densities. Furthermore, the operational costs of the ICP need to be reduced, and the applicability of the GD to other sample types must be broadened. To this end, several new GD source types are under development, many operated at atmospheric pressure and some in the open atmosphere. They are very promising, but still suffer shortcomings, such as matrix interferences, so more research is needed to fully exploit their capabilities.

16. Plasma theory

Scott D Baalrud1 and Igor Kaganovich2

1 University of Iowa

2 Princeton Plasma Physics Laboratory

Status.

Theory provides methods to explain and predict plasma behavior using unifying principles and mathematical models. One essential contribution to low temperature plasma science is the development of reductionist methods that describe the ways in which charged particles and electromagnetic fields interacting on microscopic scales give rise to complex self-organized behavior on macroscopic scales. Although the mechanics and electrodynamics underlying plasma physics are well established, plasmas contain such an enormous number of degrees of freedom, often including complex chemistry for chemically reacting molecular gases, where extracting useful trends from the formal theory requires a vast reduction in complexity. Even in an age of enormous computational capability, plasma simulations now and into the foreseeable future will continue to solve reduced mathematical models. Current theories often provide accurate and calculable descriptions for simplified systems, such as fewer dimensions or course grained space and time scales. As the field progresses, there will be a continued need for theories that can best utilize growing computational resources by finding ways in which first-principles theory can be approximated to capture the salient physics underlying the operation of a device or experiment. Two particularly important examples include how to best model microphysical processes that may be sub-grid in continuum simulations, and how to extend theoretical models to treat multi-dimensional processes.

A second essential contribution is the interpretation of experimental and simulation data via unifying principles. Measurements provide data at discrete positions in space, time and plasma parameter phase-space. Translating this data into a capability for predicting the outcome of later experiments or devices requires a theoretical understanding of the underlying physics. There has been great progress in understanding the underlying principles governing low temperature plasmas, yet basic measurements exist that remain unexplained and real devices often involve a complex interplay between mechanisms that cannot be modelled by theories treating isolated phenomena. As the field progresses, there will be a continued need for further development of both fundamental theoretical understanding, and more sophisticated phenomenological models capable of accurately addressing complex systems.

Since plasma theory is a branch of science, rather than a specific application or technique, its scope is broad. Indeed, it is used to understand and model each application and technique described in this review. The following does not attempt to review the subject as a whole. Instead, it discusses recent trends in developing models and identifying unifying theoretical principles that have been stimulated by recent experiments and applications.

Current and future challenges.

Perhaps the most consistent theme underlying plasma theory is describing how complex macroscopic self-organization emerges from microscopic collective behavior [152]. There are many manifestations of this theme. An important area of recent emphasis is the formation of large-scale coherent structures; see figure 30. Although these come in many forms in different experimental devices, common features include structure formation over macroscopic space and time scales and that the structures qualitatively influence the large-scale behavior of the plasma. One class of examples is the rotating spokes observed in E  ×  B discharges [153], such as Hall thrusters, magnetrons, Penning discharges and magnetic filters [152]. Another example is the spots that form on cathodes [154] and anodes [152]. The latter are related to perhaps the most ubiquitous form of plasma self-organization, the formation of sheaths and double layers. The common challenge for theory is to describe how the nonlinear collective behavior at small scales conspires to give rise to these large-scale stable structures.

Figure 30.

Figure 30. (a) Cathode spots calculated from a theoretical model. Reproduced from [154]. © IOP Publishing Ltd. All rights reserved. (b) Electron density, ion density, potential and electron energy calculated from a particle-in-cell simulation of a magnetron. Reproduced from [152] CC BY 3.0. (c) Visible light emission from a rotating spoke in a Hall thruster experiment. Reprinted from [155], with the permission of AIP Publishing.

Standard image High-resolution image

Another recent area of emphasis is the influence of small-scale fluctuations on macroscopic behavior; see figure 31. When instabilities are excited, the collective amplification of thermal fluctuations can lead to wave-particle interactions, possibly followed by wave-wave interaction in nonlinear regimes, becoming the dominant mechanism by which particles, momentum and energy are transported at large scales. In many recent applications, an energy source such as a differential flow between the constituent populations of the plasma drives instabilities. Examples include anomalous transport in E  ×  B discharges, which may be associated with fluctuations amplified by the differential flow between electrons and ions [156], or between different ion populations [157]. Similarly, flows can drive instabilities in the plasma-boundary transition region. These include drifts between secondary electrons emitted from a boundary and plasma electrons [158]. They also include differential flows between ions and electrons or different ion species, which are accelerated by pre-sheath electric fields. In each of these situations, understanding the nature of the instabilities and how they influence transport is critical to quantitative modelling of experiments and plasma-based devices. Theory and modelling of such turbulent transport has reached an advanced stage in magnetic fusion research, where simulations routinely model complex 3D experiments quantitatively using modern supercomputers. The low-temperature plasma community would benefit from adapting the theories and simulation techniques developed by the fusion community. Extracting useful information from such large-scale simulations will also present new challenges for theory.

Figure 31.

Figure 31. Contour plots of (a) electron density and (b) electric field showing MHz scale frequency fluctuations in a particle-in-cell simulation of a Hall thruster. Reprinted from [156], with the permission of AIP Publishing.

Standard image High-resolution image

Researchers are also interested in finding ways to use imposed large-scale organized structures to externally control small-scale features of plasmas. Of particular importance is the use of external controls to tailor electron and ion distribution functions because these directly influence plasma chemistry and plasma-boundary interactions. Plasma chemistry and interactions with boundaries are often the responsible mechanisms for producing desired outcomes in industrial devices; so the ability to control them can provide a basis for improving the performance of existing devices, as well as for the development of new devices. Examples of methods being explored in this area include tailored RF waveforms [159], externally biased electrodes [160] and electron emitting surfaces [161].

Advances in science and technology to meet challenges.

Progress in each of these areas will require the development of appropriate theoretical models to go from first-principles, but complicated, phase-space kinetic theories to course grained, but solvable, continuum fluid theories, while retaining the salient physics. Computation will be an important compliment to this process. Theory will advise simulation by providing the basic theoretical models to solve numerically. Computation will advise theoretical development by providing data from more complete models solved in reduced domains to reveal the important physics processes that must be retained in reduced models.

As specific examples, understanding coherent structures will require describing how instabilities or ionization processes onset at small spatial scales before expanding to influence large-scale dynamics. Such fundamental processes could be modelled with kinetic or particle-in-cell simulations in reduced spatial domains, such as one or two-dimensions, to test theoretical models of key physics aspects. This could advise the development of appropriate continuum fluid theory, capturing the key physics aspects in models that may be efficient enough to simulate an entire experiment. Similar reasoning can be applied to the problems of instability onset and influence on transport, as well as to distribution function tailoring via external controls.

Concluding remarks.

Plasma theory is a branch of low temperature plasma science and engineering that will always have an essential role. In many ways, the trends in the field are driven by the need to understand new experiments and devices. Yet, plasma physics is a unified subject in which the means to address seemingly disparate issues can be found in underlying principles. Theory provides ways to interpret experimental and simulation data, as well as detailed models to predict the outcome of experiments. Although this has been the role of theory since the inception of plasma physics, the more recent advances in computational capability has led to a new synergy between the theoretical model development and the means to solve the equations. Recent trends include understanding the development of large-scale coherent structures from underlying microphysics processes, how small-scale instabilities can influence macroscopic transport processes and how external fields can be utilized to control phase-space characteristics of the electron and ion distribution functions to encourage desirable plasma chemistry and plasma-boundary interactions.

17. Modelling and simulation

Ute Ebert1 and Mark J Kushner2

1 Centre for Mathematics and Computer Science (CWI) Amsterdam and Eindhoven University of Technology

2 University of Michigan

Status.

The status of modelling and simulation (M&S) is very healthy. More researchers are performing M&S, from investigating fundamental processes in device optimization, to identifying nonlinear mechanisms in quantitative predictions that can substitute for experiments when they are impractical to perform, or that can guide experiments. The many decade long goal of M&S becoming an accepted and embraced tool in investigation of low temperature plasmas (LTPs) has been met. That success is impressive, given the extreme diversity of the field, including types of plasma sources (microwave to ionization waves), pressures (mTorr to liquid densities), spatial scales (microplasmas to atmospheric sprite discharges with (40 km)3 volumes), time scales (ps for collisions to days for slow chemistry), electron energies (sub-thermal of cryogenic plasmas to 40 MeV of terrestrial gamma-ray flashes) [162] and chemistries (rare gases to living tissue). Another challenge lies in the intrinsically non-equilibrium nature of plasmas generated by electrodynamic, rather than thermal, mechanisms. Advances in M&S include both gas phase plasmas and plasma–surface interactions, using, for example, molecular dynamics (MD) to obtain reaction probabilities of plasma produced species interacting with biological molecules [163]. There are also impressive advances in computational algorithms, from hybrid models and adaptive-mesh-refinement (AMR) [164, 165] to radiation transport, which now enable time dependent and fully 3D simulations [164, 165] (see figure 32). This broad use of M&S has been largely driven by applications and facilitated by the rapid and impressive adoption of commercial codes (CC), codes written by others (CWO) and open-source software (OSS) collaborations [166]. The widespread use of CC and CWO is an outstanding development, as more modelling is being performed by a greater diversity of researchers.

Figure 32.

Figure 32. Particle in cell simulation of breakdown in 100 Torr of argon for point-to plane potential of (left) 3.25 kV and (right) 5 kV using AMR techniques. Isosurfaces of electron density (1016 m−3 and 5  ×  1016 m−3), adapted grids, and equipotential lines are shown. Reproduced from [164]. © IOP Publishing Ltd. CC BY 3.0.

Standard image High-resolution image

However, this success also raises some concerns. The number of research groups developing new models is shrinking, with a significant slowing in the development of new algorithms and new modelling capabilities. There is a concern about the lack of early career researchers who will develop future models and algorithms. A positive counter to these trends is the collaborative development of OSS that leverages the collective expertise of this relatively small community and the algorithms developed in allied fields.

Current and future challenges.

Plasma applications often include different material phases, a continuing modelling challenge (see figure 33). Modelling of non-reactive dusty plasmas in large magnetic fields is now challenged to explain the coherent structures observed in the MDX (magnetized dusty plasma experiment), while modelling of reactive dusty plasmas is challenged to explain mechanisms of particle formation. Modelling interfacial transport at plasma-liquid boundaries of aerosol droplets and plasma activated liquids [168] and transport inside liquids are at or beyond the state-of-the-art. Plasmas on liquids can generate coherent structures that have not been modelled from first principles. In fact, modelling coherent structures is generally a challenge [169]. The large dynamic range of time/spatial scales and physical phenomena continues to challenge the field. Integrating low pressure simulations with the formation of micro- and nano-structures for semiconductor processing still hold challenges [170] that extend to atmospheric plasmas interacting with microstructures, such as catalysts and porous materials. Progress continues in multiscale simulations. However, addressing coherent structures while simultaneously resolving space-charge layers with reactor scale phenomena, or addressing atmospheric discharges dependent on runaway electrons challenges the state of the art.

Figure 33.

Figure 33. Coupled simulation of an atmospheric pressure gas phase plasma with water. Springer [167], © Springer Science  +  Business Media New York 2014, with permission of Springer.

Standard image High-resolution image

Applications, such as plasma assisted combustion, plasma actuators, environmental remediation and lightning protection, each bring their own modelling challenges. Here, progress is more likely to be made by systematic model reduction than by increasing the scale and complexity of models. The self-consistent integration of plasma processes with biological and cellular processes now requires a hierarchy of reaction mechanisms and interfacial computational techniques that are beyond the state of the art. Integration of plasma simulations with inherently surface modelling techniques, such as MD and density-functional-theory (DFT), will enable a new level of consistency. New voltage sources producing custom waveforms, multiple frequencies or sub-nanosecond pulses create unique plasma states which challenge M&S through 3D structures, non-local transport and specific electron energy distributions. Although turbulent plasma jets are now modelled by the thermal plasma community, modelling non-equilibrium plasmas intertwined with turbulent atmospheric plasma jets is at the leading edge of computational challenges.

Given the widespread use of CC, CWO and OSS models, a practical challenge is ensuring that the most efficient algorithms are implemented in the very codes that are so widely used. In principle, collaborative OSS should address this need for optimal algorithms, however OSS is not necessarily the ideal option for all researchers. Accelerating implementation of advanced algorithms may also be met by other community-based collaborations, perhaps driven by a series of workshops. Adoption of state-of-the-art of high-performance computing (HPC) techniques is still a challenge in the LTP field. There is encouraging progress in AMR, graphical-processing-units (GPUs) [171], hybrid techniques and conventional parallelization, though implementation of Poisson's equation, due to its nonlocal nature, makes it still a major challenge to code parallelization. Most of the widely used CC, CWO and OSS modelling platforms are general frameworks intended to address a variety of problems, which may conflict with the specialization required for state-of-the-art HPC methods. Some compromise is necessary between implementing HPC, while retaining the flexibility of addressing a wide range of phenomena. As CC, CWO and OSS become more common, the ability of a small community to support multiple platforms becomes more limited. There is a natural tension between those researchers limited to desktop or small-cluster computations, and researchers able to utilize massively parallel computing. A means to optimally leverage both communities should be developed.

M&S in the LTP community is being driven more and more by applications, which naturally leads to more interdisciplinary research. Given this application driven environment, the verification and validation of models becomes even more important, as discussed elsewhere in this Roadmap. Associated challenges include integrating into plasma centric models the physical processes of biology, chemistry, surface science, fluid mechanics, radiation transport and 'high energy' physics in the keV and MeV range, due to electron runaway. This is a tremendous opportunity to leverage knowledge from other disciplines, both from a scientific and a computational perspective. The end result is more complex models that may require some form of model reduction to encompass the wide range of processes being addressed. By doing so, there is some inevitable distancing of application driven modelling from discipline centric theoretical plasma science.

Advances in science and technology to meet challenges.

M&S for LTPs is naturally separated into users of codes and developers of algorithms and codes. The increasing user base of CC and CWO is a positive development, because more modelling is being done. However, this also places more responsibility on the developers to make algorithms more robust, generally applicable, self-aware, self-correcting and able to choose proper algorithms without user intervention. The status of fundamental data and reaction mechanisms for models is addressed elsewhere in the Roadmap. However, the impact of modelling applications critically depends on the accuracy of the knowledge base and the ability to estimate data when it is not available. These activities cannot be completely independent. The development of models and algorithms depends in part on how the fundamental data is represented in those models. The natural progression of increased computing power will enable larger simulations having more species and more gridpoints. The challenge is how to use this computing power in an optimum manner and that can only be accomplished by a more deliberate effort to meld theoretical with computational plasma physics. For example, sampling the local neighborhood of mesh points to provide input to a theoretical expression for energy relaxation might enable coarser meshes to resolve the same phenomena. On the other hand, more macroscopic models and extensive parametrizations could be enabled through model reduction from proper micro-based models. This melding of theory and computations will likely be necessary to address the extreme dynamic ranges that challenge the field.

Concluding remarks.

The availability of computational tools for the analysis and optimization of plasma applications has nurtured an international user base. Since the 2012 Plasma Roadmap, this user base has tremendously grown and this is an extremely positive development. However, the community of researchers who are developing new computational algorithms and models has decreased. Supporting the increasing base of users in addressing the challenges described above requires continuing innovation in algorithms and models by a new generation of early career researchers, both computational and theoretical. For example, methods, such as model reduction, which may be key to bridging large dynamic ranges, need further development. The health of the field depends on nurturing and supporting the new generation of M&S innovators.

18. Atomic and molecular data for plasma science

N J Mason1 and J Tennyson2

1 The Open University

2 University College London

Status.

The physical and chemical processes of any plasma are inextricably linked with the underlying atomic and molecular (A+M) physics. Therefore, a better understanding of such physics has the potential to provide mechanisms for the manipulation and control of the plasma. To date, the plasma industry has largely been developed through incremental change, framed by engineering and commercial considerations. This has been a successful strategy and, for example, underpins the successful implementation of 'Moore's law' in the fabrication of semiconductor chips. However, it is now broadly recognised that we are entering a new era in which technological advances in plasma processing and the exploitation of plasmas in general, from material processing to plasma medicine, will be based upon the manipulation of the plasma properties, which in turn places renewed emphasis on establishing a thorough understanding of the A+M processes within those plasmas [172].

In the last decade, our knowledge of the electron, ion and photon interactions with A  +  M species within the plasma and evaluation of cross-sections and reaction rates for such collisions, both in the gaseous phase and on the surfaces of the plasma reactor, has greatly increased [173]. The development of new experimental methodologies, such as velocity map imaging (VMI), has provided new insights into the dissociation dynamics and energy transfer in plasma feed gases, while advances in theoretical calculations of fundamental collision processes, in part led by continued improvements in computational speed, has provided data on many targets, which have proven to be impractical for experimental study (e.g. short-lived or very reactive chemical species).

Databases that compile, curate and disseminate A+M data are an important requirement in many scientific communities. For example, the virtual atomic and molecular data centre (VAMDC) [174]. VAMDC federates A+M databases through an e-science infrastructure and recently has added databases from the plasma community. Within the plasma community the Plasma Data Exchange Project has created the LXcat open-access website [175] for collecting, displaying and downloading electron and ion scattering cross sections, swarm parameters (e.g. mobility and diffusion coefficients), reaction rates, energy distribution functions and other data required for modelling low temperature plasmas. Such databases are now routinely exploited by both academic and industrial communities, with the data being used to build models of such plasmas and calibrate many diagnostic tools used for in situ monitoring of these plasmas.

Current and future challenges.

Despite advances in our understanding of A+M processes and the widespread acceptance of the need for appropriate databases, several challenges remain. The ever expanding range of species used in different plasmas, for example, the replacement of 'traditional' fluorocarbons used in plasma etching with new compounds that have lower global warming potentials and the growing use of new hydrocarbons in CVD have emphasized the need for compilation of A+M datasets for such species. Unfortunately, while the need for such data is recognized, the funding for the collection of such data remains limited and the international A+M community has declined over the past decade. Our knowledge of many A+M processes remains poor [176]. Indeed, recent reviews of electron collision data (figure 34) with relatively simple molecules, such as methane (CH4) [177], have highlighted the lack of reliable data for electron impact dissociation. The ever growing use of atmospheric plasmas (e.g. in plasma medicine) has highlighted our poor knowledge of collision processes and chemical reactivity in/with A+M clusters, which are observed to dominate such plasmas. Similarly, the interactions on surfaces provides a challenge, since, unlike the gas phase, unique cross sections and rate constants are hard to define, as they are dependent on a multitude of parameters, such as the morphology, nature and thickness of surface films. Within the gas phase, there are still many targets for which little or no data is available including; (i) atomic species, such as B, Be, C, Cl, Dy, F, Hg, N, Na, O and W, important in fusion plasmas (particularly in edge plasmas), arc plasmas and the lighting industry, (ii) neutral molecular species formed by dissociation of plasma feed gases (e.g. CHx CFx NHx x  =  1, 2, 3, OH), formed in semi-conductor and CVD plasmas and (iii) ionic species where, for example, quantifying (for cations) dielectric recombination remains rudimentary and ion pair formation (also called dipolar dissociation) remains largely unexplored, even though it may be the major source of anions in some plasmas. Furthermore, direct experimental measurements of collisions involving unstable molecules, often called radicals, which are prevalent in plasmas, remain extremely scarce.

Figure 34.

Figure 34. Summary of recommended cross section for electron collisions with methane: TCS—total scattering, ES—elastic scattering, MT— momentum transfer, ION—partial ionization, TICS—total ionization, VE—vibrational excitation, RE—rotational excitation, ATT—dissociative attachment, TACS—total dissociative attachment. Reprinted from [177], with the permission of AIP Publishing.

Standard image High-resolution image

The amount of data required has placed greater emphasis on the use of accurate theoretical calculations of the required cross sections and rate constants. Theory is the major source of cross sections or rate coefficients for radical species, but there is a lack of data against which to benchmark calculations; just because a method gives good results for stable (closed-shell) molecules, it does not guarantee that the same procedure will work equally well for the more complicated electronic structures found in a radical. Several semi-empirical methods have been developed to provide electron scattering cross sections (particularly ionization and elastic scattering cross sections) with accuracies of some 10%, but, for accurate evaluation of electron excitation (leading to dissociation), it is necessary to exploit more detailed models incorporating quantum chemical descriptions of the target [178, 179]

Advances in science and technology to meet current challenges.

Several advances in methodology have the potential to provide benchmark A+M data for the plasma community. For electron–atom collisions, the development of sophisticated methods based on the use of a very extensive basis set of expansions has led to benchmark results for such processes with a high degree of predictability [180].

Unfortunately, computational studies on electron-molecule collisions, while increasingly supplying data on key processes, cannot yet be performed with complete confidence for many key problems [180], in particular leading to the dissociation of the molecule, for example, by low energy dissociation electron attachment (DEA). More than a dozen international groups are now pursuing VMI studies to quantify the dissociation dynamics of DEA and dipolar dissociation. The inauguration of the next generation of storage rings (e.g. Desiree in Sweden) will provide further data on dielectric recombination. Although many plasmas are electronegative, the data available for anion interactions with neutrals, cations and surfaces is sparse. Exploiting techniques developed within the cold atom community, the first 'anion traps' have been developed; by introducing A+M species into the trap and looking at the rate of anion loss, anion reaction rates may be evaluated.

Despite these new experimental techniques and the establishment of new laboratories to study A+M processes (particularly in nations where the research community is growing and new facilities are being built), theoretical methods will still provide the bulk of the A+M data for the plasma community in the coming decades. Experimentally derived data is routinely quoted with assessed 'error bars' but, in contrast, theoretical data with 'uncertainty estimates' are rare. A recent initiative provides guidelines on estimating uncertainties for compilations of structure and scattering data [181].

Having calculated and measured many of the fundamental A+M processes necessary for plasma studies, the curation and dissemination of these data is crucial. The methods for incorporating, interrogating and extracting the data from the databases have been the subject of considerable research and review with the adoption of SQL as a standard. With the development of 'big data' initiatives, further progress and standardization of database protocols may be expected. Automation of data transport from databases to modelling code through the use of APIs (application programming interfaces) will become essential as the datasets grow. However, it is not sufficient to just acquire and collate data; it is also necessary to provide recommendations on the data, preferred cross sections and rate constants, spectroscopic constants etc. The method and, indeed, ethics by which such recommendations are made and presented have yet to be established and they must be accepted by the whole international community.

Concluding remarks.

Access to large amounts of A+M data is now required by the plasma community, which has diverse applications from plasma medicine, water and waste treatment to the more traditional semiconducting and materials processing industries. The plasma community has recognized the need to both compile and curate A+M data, while also identifying missing data. This is leading to a step change in the way in which A+M data is evaluated. While new experiments are used for benchmarking and to provide new insights into the mechanisms of A+M collisions, the majority of the data are expected to be derived from theoretical calculations. The recent work on establishing uncertainty estimates in theoretical methods that will be complimentary to the established errors assigned to experimental results will be an important part of the delivery of A+M data to the wider plasma community. However, the compilation, assessment and, above all, curacy of entire sets of data required by the plasma physics community are challenges that are only beginning to be met.

19. Plasma chemistry: mechanisms, validation and distribution

L C Pitchford1 and Miles M Turner 2

1 LAPLACE, CNRS and University of Toulouse

2 Dublin City University

Status.

The terms 'mechanisms,' 'validation' and 'distribution' in the title of this contribution are intended to imply plasma chemical kinetics models with associated reaction rates, the validation by comparison of model predictions with target experiments and the distribution in an open-access electronic format, respectively. The low temperature plasma (LTP) community generally recognizes the need to establish, validate and distribute some standard reaction mechanisms, at least for a few common gas mixtures. Discussions are now underway to define a strategy to make progress, as a community, towards these goals. In the following, we outline the nascent consensus and our conclusions.

A fully developed 'mechanism' in this sense is more than an assembly of rate constants. A mechanism is designed to predict certain species densities under specified conditions, and will have been tested ('validated') by comparison with suitable experiments ('targets'). These aims will inform the selection of both the species and reactions represented in the mechanism, as well as the choice of target experiments. A basic mechanism for helium, suitable for ionization balance calculations, is shown in figure 35. In this mechanism, all excited states are represented by either He* or $\text{He}_{2}^{\ast}$ , where the arrows represent the individual processes considered for which rate coefficients must be defined. If the aims included predictions of, for example, the density of specific atomic metastable state densities, then an appreciably more elaborate mechanism would be called for [182].

Figure 35.

Figure 35. Illustration of a simplified mechanism in helium. A rate must be associated with each arrow to fully define the mechanism.

Standard image High-resolution image

The LTP literature is replete with discussions of mechanisms for many different gas mixtures, but intercomparisons are generally difficult because of the disparity in discharge conditions considered. Also, given the level of detail involved, it is not surprising that errors have crept into the literature, as has been discussed recently [183] in the case of He/O2 mixtures. Although some mechanisms from previous work have become de facto 'standards', the situation at present is that the LTP community lacks recommended mechanisms. For researchers who aim to develop new mechanisms or to corroborate those previously published, resources are available, some of which we mention here. In 1992, Kossyi et al [184] published a detailed review of reactions and recommended rate coefficients in N2/O2 mixtures. Beginning in 1980 and under the auspices of the IUPAC task group on atmospheric chemical kinetic data evaluation, a number of papers were published39 which provide some recommended rate coefficient data for species of interest in developing and emerging LTP applications. VAMDC (virtual atomic and molecular data center) [174] is another resource and, although developed mainly for astrophysics, it provides a common portal to a number of electronic databases containing recommended data relevant to modeling LTPs.

The identification of rate coefficients for processes involving electrons is complicated by the fact that in most LTPs, the electrons—the vector through which most of the energy from the electromagnetic fields sustaining the plasmas is transferred to excitation in the neutral gas—generally do not have Maxwellian energy distribution functions (EDF). The EDF (and hence rate coefficients versus mean electron energy or versus local field strength) can be calculated if a full set of electron-neutral scattering cross sections is available. To this end, the LXCat project [185] (www.lxcat.net) was established in 2010 as a means for exchanging data needed for modeling the electron and ion components of LTPs, and it includes compilations of data for cross sections for electron collisions with atoms/molecules in their ground state, which are accessible through VAMDC. We regard LXCat as, in many relevant ways, a model for future efforts to distribute plasma chemistry models.

In spite of these and other resources too numerous to mention here, coming up with a coherent set of rate coefficients for a mechanism is the cause of the largest uncertainties in the predicted plasma species concentrations in different types of plasma sources. In general, there is both irreducible uncertainty in the basic data, combined with contradictory evidence. Expert knowledge is often needed to extract a preferred datum from this information. For plasma chemistry with complex molecules of interest to the microelectronics industry, for example, very little guidance can be found in the literature and recourse must be made to theory or to making estimates.

Current and future challenges.

The need to improve the quality of plasma chemistry data available to modelers is well recognized but a concerted effort has not yet been put in place in the LTP community, in contrast to what has been done in several other communities. An example in atmospheric chemistry was mentioned above. Another example is the multi-decade effort on the GRI-Mech project [186] in the combustion community, which has yielded sets of validated reaction mechanisms for given gas compositions, where rate coefficients have been adjusted within experimental error so as to obtain agreement with key measurements over a range of gas temperatures. The result is a model of the combustion chemistry that is faithful to both the fundamental kinetics and system data, and that can be reliably employed for modeling purposes. Because of the internal consistency, processes/rates should not be individually modified.

The situation in LTPs is more challenging than for the combustion community because there is no single parameter, e.g. gas temperature, which defines both the energy transfer from the charged particles to excitation, dissociation and ionization of the background gas and the subsequent energy transfer reactions among the ion, excited states, and dissociation products. For instance, the electron 'temperature' (or, more properly, electron 'mean energy') cannot be deduced from the translational gas temperature, which itself is usually not the same as the vibrational 'temperature'. This consideration complicates both the modelling and interpretation of basic experiments. An additional consideration is that plasma–surface interactions are sometimes as important as volume processes in the energy transfer sequence, but very few basic data are available to describe these interactions, which are highly dependent on surface conditions. In spite of these difficulties—or rather because of them—it is clear that the LTP community needs to define a strategy to develop, validate (to the extent possible) and distribute reaction mechanisms for some common gas mixtures.

Advances in science and technology to meet challenges.

Diagnostic techniques for determining species concentrations are advanced, but measurements of rate coefficients are time-consuming and can reasonably only be made for a few processes. Techniques for model reduction [187] can serve to identify the important reaction pathways in a complicated mechanism. Other techniques are available for performing sensitivity analyses taking into account error bars for each rate [188]. Hence, numerical analyses can aid the identification of the critically uncertain rate coefficients that need to be measured. It will then be necessary to rely on theory to extend the available results to other systems and other conditions. With a concerted effort on the part of the LTP community, key experiments needed to reduce the uncertainty in reaction mechanisms could be identified and performed. Plasma chemistry can be quite complex, particularly in mixtures with molecular gases when the degree of internal excitation in the neutral gas becomes important. Some data are becoming available for rates for energy transfer between excited states [189], and we refer readers to the section of this Roadmap on atomic and molecular data for further progress in this important area.

Concluding remarks.

It has been suggested, and we agree, that the community should initiate an effort to establish recommended reaction mechanisms for common gas mixtures, focusing, to begin with, on N2/O2 mixtures with argon or helium, because these are relevant to many emerging application areas. Other chemistries are of course very important in different contexts, but some initial focus seems necessary. The steps in the process of developing and distributing a validated reaction mechanism for given gas mixtures are listed in figure 36. Some members of the LTP community have recently undertaken a round-robin exercise for the purpose of comparing calculations of species concentrations for well-defined sets of discharge conditions using the various available codes and previously elaborated mechanisms. Further discussions are underway to initiate a COST action in the European community (to be coordinated by one of the authors of this contribution—MT) to validate mechanisms in simple gas mixtures. We hope that these and other actions planned or underway will provide the impetus and the means for the LTP community to improve the quality of the data available for modeling LTPs.

Figure 36.

Figure 36. Steps in the process of development and distribution of a validated mechanism.

Standard image High-resolution image

Footnotes

Please wait… references are loading.