skip to main content
10.1145/360128.360149acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
Article
Free Access

A framework for dynamic energy efficiency and temperature management

Authors Info & Claims
Published:01 December 2000Publication History
First page image
Skip Supplemental Material Section

Supplemental Material

References

  1. 1.D. Albonesi. Dynamic IPC/Clock Rate Optimization. In International Symposium on Computer Architecture, pages 282-292, July 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. 2.S. Altschul, W. Gish, W. Miller, E. Myers, and D. Lipman. Basic Local Alignment Search Tool. Journal of Molecular Biology, 215(3):403- 410, October 1990.Google ScholarGoogle ScholarCross RefCross Ref
  3. 3.J. Alvarez et al. A Wide-Bandwidth Low-Voltage PLL for PowerPC Microprocessors. IEEE Journal on Solid-State Circuits, 30(4):383- 391, April 1995.Google ScholarGoogle ScholarCross RefCross Ref
  4. 4.L. Benini et al. Monitoring System Activity for OS-Directed Dynamic Power Management. In International Symposium on Low Power Electronics and Design, pages 185-190, August 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. 5.D. Brooks and M. Martonosi. Adaptive Thermal Management for High-Performance Microprocessors. In Workshop on Complexity Effective Design, June 2000.Google ScholarGoogle Scholar
  6. 6.Y. Fisher. Fractal Image Compression: Theory and Application. Springer Verlag, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. 7.J. Flinn and M. Satyanarayanan. Energy-Aware Adaptation for Mobile Applications. In Symposium on Operating Systems Principles, pages 48-63, December 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. 8.S. Ghiasi, J. Casmira, and D. Grunwald. Using IPC Variation in Workloads with Externally Specified Rates to Reduce Power Consumption. In Workshop on Complexity-Effective Design, June 2000.Google ScholarGoogle Scholar
  9. 9.K. Ghose and M. Kamble. Reducing Power in Superscalar Processor Caches Using Subbanking, Multiple Line Buffers and Bit-Line Segmentation. In International Symposium on Low Power Electronics and Design, pages 70-75, August 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. 10.R. Gonzalez and M. Horowitz. Energy Dissipation In General Purpose Microprocessors. IEEE Journal on Solid-State Circuits, 31(4):1277- 1284, September 1996.Google ScholarGoogle ScholarCross RefCross Ref
  11. 11.T. Halfhill. Transmeta Breaks x86 Low-Power Barrier. Microprocessor Report, 14(2):1,9-18, February 2000.Google ScholarGoogle Scholar
  12. 12.IBM Microelectronics. Blue Logic SA-27E ASIC. http://www.chips.ibm. com/news/1999/sa27e/sa27e.pdf, February 1999.Google ScholarGoogle Scholar
  13. 13.Intel. Pentium III Processor Mobile Module: Mobile Module Connector 2 (MMC-2) Featuring Intel SpeedStep Technology, 2000.Google ScholarGoogle Scholar
  14. 14.Intel, Microsoft and Toshiba. Advanced Configuration and Power Interface Specification, 1999.Google ScholarGoogle Scholar
  15. 15.K. Itoh. Low Power Memory Design. In Low Power Design Methodologies, pages 201-251. Kluwer Academic Publisher, 1996.Google ScholarGoogle ScholarCross RefCross Ref
  16. 16.K. Itoh et al. An Experimental 1Mb DRAM with On-Chip Voltage Limiter. In ISSCC Digest of Technical Papers, pages 84-85, February 1981.Google ScholarGoogle Scholar
  17. 17.T. Juan, T. Lang, and J. Navarro. Reducing TLB Power Requirements. In International Symposium on Low Power Electronics and Design, pages 196-201, August 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. 18.M. Kamble and K. Ghose. Analytical Energy Dissipation Models for Low Power Caches. In International Symposium on Low Power Electronics and Design, pages 143-148, August 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. 19.Y. Kang, W. Huang, S. Yoo, D. Keen, Z. Ge, V. Lam, P. Pattnaik, and J. Torrellas. FlexRAM: Toward an Advanced Intelligent Memory System. In International Conference on Computer Design, pages 192-201, October 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. 20.J. Kin, M. Gupta, and W. Mangione-Smith. The Filter Cache: An Energy Efficient Memory Structure. International Symposium on Microarchitecture, pages 184-193, December 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. 21.V. Krishnan and J. Torrellas. An Execution-Driven Framework for Fast and Accurate Simulation of Superscalar Processors. In International Conference on Parallel Architectures and Compilation Techniques, pages 286-293, October 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. 22.R. Lawrence, G. Almasi, and H. Rushmeier. A Scalable Parallel Algorithm for Self-Organizing Maps with Applications to Sparse Data Mining Problems. Technical report, IBM, January 1998.Google ScholarGoogle Scholar
  23. 23.S. Manne, A. Klauser, and D. Grunwald. Pipeline Gating: Speculation Control for Energy Reduction. In International Symposium on Computer Architecture, pages 132-141, July 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. 24.J. Montanaro et al. A 160-MHz, 32-b, 0.5-W CMOS RISC Microprocessor. IEEE Journal Solid State Circuits, 31(11):1703-1714, November 1996.Google ScholarGoogle ScholarCross RefCross Ref
  25. 25.T. Pering, T. Burd, and R. Brodersen. The Simulation and Evaluation of Dynamic Voltage Scaling Algorithms. In International Symposium on Low Power Electronics and Design, pages 76-81, August 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. 26.J. Quinlan. C4.5 - Programs for Machine Learning. Morgan Kaufmann, 1993. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. 27.E. Rohou and M. Smith. Dynamically Managing Processor Temperatureand Power. In 2nd Workshop on Feedback-Directed Optimization, November 1999.Google ScholarGoogle Scholar
  28. 28.H. Sanchez et al. Thermal Management System for High Performance PowerPC Microprocessor. In IEEE Computer Society International Conference, pages 325-330, February 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. 29.S. Sidiropoulos and M. Horowitz. A Semidigital Dual Delay-Locked Loop. IEEE Journal on Solid-state Circuits, 32(11):1683-1692, November 1997.Google ScholarGoogle ScholarCross RefCross Ref
  30. 30.C-L. Su and A. Despain. Cache Design Trade-offs for Power and Performance Optimization: A Case Study. In International Symposium on Low Power Electronics and Design, pages 63-68, April 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. 31.C-H. Tsai. Temperature-Aware VLSI Design and Analysis. PhD thesis, Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign, May 2000.Google ScholarGoogle Scholar
  32. 32.J. Veenstra and R. Fowler. MINT: A Front End for Efficient Simulation of Shared-Memory Multiprocessors. In Second International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems, pages 201-207, January 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. 33.N. Vijaykrishnan et al. Energy-Driven Integrated Hardware-Software Optimizations Using SimplePower. In International Symposium on Computer Architecture, pages 95-106, June 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. 34.S. Wilton and N. Jouppi. CACTI: An Enhanced Cache Access and Cycle Time Model. IEEE Journal on Solid-State Circuits, 31(5):677- 688, May 1996.Google ScholarGoogle ScholarCross RefCross Ref
  35. 35.N. Yeung. et al. The Design of a 55SPECint92 RISC Processor under 2W. ISSCC Digest of Technical Papers, pages 206-207, February 1994.Google ScholarGoogle Scholar
  36. 36.S-M. Yoo, J. Renau, M. Huang, and J. Torrellas. FlexRAM Architecture Design Parameters. Technical Report CSRD-1584, Department of Computer Science, University of Illinois at Urbana-Champaign, October 2000. http://iacoma.cs.uiuc.edu/flexram/publications.html.Google ScholarGoogle Scholar

Index Terms

  1. A framework for dynamic energy efficiency and temperature management

                Recommendations

                Comments

                Login options

                Check if you have access through your login credentials or your institution to get full access on this article.

                Sign in
                • Published in

                  cover image ACM Conferences
                  MICRO 33: Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture
                  December 2000
                  357 pages
                  ISBN:1581131968
                  DOI:10.1145/360128

                  Copyright © 2000 ACM

                  Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

                  Publisher

                  Association for Computing Machinery

                  New York, NY, United States

                  Publication History

                  • Published: 1 December 2000

                  Permissions

                  Request permissions about this article.

                  Request Permissions

                  Check for updates

                  Qualifiers

                  • Article

                  Acceptance Rates

                  MICRO 33 Paper Acceptance Rate31of110submissions,28%Overall Acceptance Rate484of2,242submissions,22%

                  Upcoming Conference

                  MICRO '24

                PDF Format

                View or Download as a PDF file.

                PDF

                eReader

                View online with eReader.

                eReader