skip to main content
10.1145/2897937.2897984acmotherconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article
Public Access

An FPGA-based infrastructure for fine-grained DVFS analysis in high-performance embedded systems

Published:05 June 2016Publication History

ABSTRACT

Emerging technologies provide SoCs with fine-grained DVFS capabilities both in space (number of domains) and time (transients in the order of tens of nanoseconds). Analyzing these systems requires cycle-accurate accounting of rapidly-changing dynamics and complex interactions among accelerators, interconnect, memory, and OS. We present an FPGA-based infrastructure that facilitates such analyses for high-performance embedded systems. We show how our infrastructure can be used to first generate SoCs with loosely-coupled accelerators, and then perform design-space exploration considering several DVFS policies under full-system workload scenarios, sweeping spatial and temporal domain granularity.

References

  1. Andersen, T. M., et al. A feedforward controlled on-chip switched-capacitor voltage regulator delivering 10W in 32nm SOI CMOS. In ISSCC Digest of Technical Papers (Feb. 2015), pp. 22--26.Google ScholarGoogle ScholarCross RefCross Ref
  2. Angiolini, F., et al. Contrasting a NoC and a traditional interconnect fabric with layout awareness. In DATE (Mar. 2006), pp. 124--129. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Arvind. Simulation is passé all future systems require FPGA prototyping. Keynote Address at Embedded System Week (ESWEEK) (Oct. 2014).Google ScholarGoogle Scholar
  4. Barker, K., et al. PERFECT (Power Efficiency Revolution For Embedded Computing Technologies) Benchmark Suite Manual, December 2013. http://hpc.pnnl.gov/projects/PERFECT/.Google ScholarGoogle Scholar
  5. Bhattacharjee, A., et al. Full-system chip multiprocessor power evaluations using fpga-based emulation. In ISLPED (Aug 2008), pp. 335--340. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Bogdan, P., et al. An optimal control approach to power management for multi-voltage and frequency islands multiprocessor platforms under highly variable workloads. In NOCS (May 2012), pp. 35--42. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Borkar, S., et al. The future of microprocessors. Communication of the ACM 54 (May 2011), 67--77. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Burton, E. A., et al. FIVR -- fully integrated voltage regulators on 4th generation Intel Core SoCs. In APEC (Mar. 2014), pp. 16--20.Google ScholarGoogle Scholar
  9. Carloni, L. P. From latency-insensitive design to communication-based system-level design. Proceedings of the IEEE 103, 11 (Nov. 2015), 2133--2151.Google ScholarGoogle ScholarCross RefCross Ref
  10. Chang, L., et al. A fully-integrated switched-capacitor 2:1 voltage converter with regulation capability and 90% efficiency at 2.3A/mm2. In VLSI symp. (June 2010), pp. 55--56.Google ScholarGoogle Scholar
  11. Cota, E., et al. An analysis of accelerator coupling in heterogeneous architectures. In DAC (June 2015), pp. 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Dally, W. J., et al. Route packets, not wires: on-chip interconnection networks. In DAC (June 2001), pp. 684--689. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Dasika, G., et al. DVFS in loop accelerators using BLADES. In DAC (June 2008), pp. 894--897. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. DiBene, J. T., et al. A 400A fully integrated silicon voltage regulator with in-die magnetically coupled embedded inductors. In APEC (Feb. 2010).Google ScholarGoogle Scholar
  15. Esmaeilzadeh, H., et al. Dark silicon and the end of multicore scaling. In ISCA (June 2011), pp. 365--376. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Herbert, S., et al. Exploiting process variability in voltage/frequency control. IEEE Trans. VLSI Systems 20, 8 (Aug. 2012), 1392--1404. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Horowitz, M. Computing's energy problem (and what we can do about it). In ISSCC Digest of Technical Papers (Feb. 2014), pp. 10--14.Google ScholarGoogle Scholar
  18. Jevtic, R., et al. Per-core DVFS with switched-capacitor converters for energy efficiency in manycore processors. IEEE Trans. on VLSI Systems 23, 4 (Apr. 2015), 723--730.Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Karnik, T., et al. Power management and delivery for high-performance microprocessors. In DAC (June 2013), pp. 1--3. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Kaxiras, S., et al. Computer Architecture Techniques for Power-Efficiency, 1st ed. Morgan and Claypool Publishers, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Kim, W., et al. System level analysis of fast, per-core DVFS using on-chip switching regulators. In HPCA (Feb. 2008), pp. 123--134.Google ScholarGoogle Scholar
  22. Kornaros, G., et al. Dynamic power and thermal management of noc-based heterogeneous mpsocs. ACM Trans.s on Reconfigurable Technology and Systems 7, 1 (Feb. 2014), 1--26. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Mantovani, P., Guglielmo, G. D., and Carloni, L. P. High-level synthesis of accelerators in embedded scalable platforms. In ASP-DAC (Jan. 2016), pp. 204--211.Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Park, J., et al. Accurate modeling and calculation of delay and energy overheads of dynamic voltage scaling in modern high-performance microprocessors. In ISLPED (Aug. 2010), pp. 419--424. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Rangan, K. K., et al. Thread motion: Fine-grained power management for multi-core systems. In ISCA (June 2009), pp. 302--313. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Rusu, S., et al. A 22 nm 15-Core Enterprise Xeon Processor Family. IEEE Journal of Solid-State Circuits 50, 1 (Jan. 2015), 35--48.Google ScholarGoogle ScholarCross RefCross Ref
  27. Salihundam, P., et al. A 2 Tb/s 6x4 Mesh Network for a Single-Chip Cloud Computer With DVFS in 45 nm CMOS. IEEE Journal of Solid-State Circuits 46, 4 (Apr. 2011), 757--766.Google ScholarGoogle ScholarCross RefCross Ref
  28. Semeraro, G., et al. Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling. In HPCA (2002), pp. 29--40. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Simunic, T., et al. Dynamic voltage scaling and power management for portable systems. In DAC (2001), pp. 524--529. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Strano, A., et al. A library of dual-clock FIFOs for cost-effective and flexible MPSoC design. In SAMOS (July 2010), pp. 20--27.Google ScholarGoogle ScholarCross RefCross Ref
  31. Sturcken, N., et al. A 2.5D integrated voltage regulator using coupled-magnetic-core inductors on silicon interposer. IEEE Journal of Solid-State Circuits 48, 1 (Jan. 2013), 244--254.Google ScholarGoogle ScholarCross RefCross Ref
  32. Taylor, M. B. Is dark silicon useful? Harnessing the four horsemen of the coming dark silicon apocalypse. In DAC (June 2012), pp. 1131--1136. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Tien, K., et al. An 82%-efficient multiphase voltage-regulator 3D interposer with on-chip magnetic inductors. In VLSI symp. (June 2015), pp. 16--19.Google ScholarGoogle Scholar
  34. Venkatesh, G., et al. Conservation cores: reducing the energy of mature computations. In ASPLOS (Mar. 2010), pp. 205--218. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. Wang, N., et al. Ultra-high-Q air-core slab inductors for on-chip power conversion. In IEDM (Dec. 2014), pp. 15--17.Google ScholarGoogle ScholarCross RefCross Ref
  36. Wang, X., et al. Characterizing power delivery systems with on/off-chip voltage regulators for many-core processors. In DATE (Mar. 2014), pp. 1--4. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. White, M. A. Low power is everywhere. Synopsys Insight Newsletter (online), 2012.Google ScholarGoogle Scholar

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Other conferences
    DAC '16: Proceedings of the 53rd Annual Design Automation Conference
    June 2016
    1048 pages
    ISBN:9781450342360
    DOI:10.1145/2897937

    Copyright © 2016 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 5 June 2016

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article

    Acceptance Rates

    Overall Acceptance Rate1,770of5,499submissions,32%

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader