skip to main content
10.1145/2897937acmotherconferencesBook PagePublication PagesdacConference Proceedingsconference-collections
DAC '16: Proceedings of the 53rd Annual Design Automation Conference
ACM2016 Proceeding
Publisher:
  • Association for Computing Machinery
  • New York
  • NY
  • United States
Conference:
DAC '16: The 53rd Annual Design Automation Conference 2016 Austin Texas June 5 - 9, 2016
ISBN:
978-1-4503-4236-0
Published:
05 June 2016
Next Conference
June 23 - 27, 2024
San Francisco , CA , USA
Bibliometrics
Abstract

No abstract available.

Proceeding Downloads

research-article
Invited - Airtouch: a novel single layer 3D touch sensing system for human/mobile devices interactions

Touchscreen technology plays an important role in the booming mobile devices market. Traditional touchscreen only provides 2D interactions with limited user experience. To overcome these limitations, we propose a novel 3D touch sensing system called the ...

research-article
Invited - A 2.2 GHz SRAM with high temperature variation immunity for deep learning application under 28nm

With the coming era of Big Data, hardware implementation of machine learning has become attractive for many applications, such as real-time object recognition and face recognition. The implementation of machine learning algorithms needs intensive memory ...

research-article
Invited - Wireless sensor nodes for environmental monitoring in internet of things

This paper presents a self-sustainable landslide surveillance system that detects hazardous water content level in soils and provides real-time landslide warnings to residents, without requiring wired electricity transmission. A self-powered soil water ...

research-article
Best Paper
Best Paper
Accurate phase-level cross-platform power and performance estimation

Fast and accurate performance and power prediction is a key challenge in co-development of hardware and software. Traditional analytical or simulation-based approaches are often too inaccurate or slow. In this work, we propose LACross, a novel learning-...

research-article
Latency sensitivity-based cache partitioning for heterogeneous multi-core architecture

Shared last-level cache (LLC) management is a critical design issue for heterogeneous multi-cores. In this paper, we observe two major challenges: the contribution of LLC latency to overall performance varies among applications/cores and also across ...

research-article
Single-tier virtual queuing: an efficacious memory controller architecture for MPSoCs with multiple realtime cores

In heterogeneous MPSoCs, memory interference between the CPU and realtime cores is a critical impediment to system performance. Previous memory schedulers adopt the classic two-tier queuing system, but unfortunately the use of two-tier queuing ...

research-article
Debugging and verifying SoC designs through effective cross-layer hardware-software co-simulation

Verification of modern day electronic circuits has become the bottleneck for the timely delivery of complex SoC designs. We develop a novel cross-layer hardware/software co-simulation framework that can effectively debug and verify an SoC design. We ...

research-article
Public Access
Efficient performance modeling via Dual-Prior Bayesian Model Fusion for analog and mixed-signal circuits

In this paper, we propose a novel Dual-Prior Bayesian Model Fusion (DP-BMF) algorithm for performance modeling. Different from the previous BMF methods which use only one source of prior knowledge, DP-BMF takes advantage of multiple sources of prior ...

research-article
Public Access
Correlated Bayesian Model Fusion: efficient performance modeling of large-scale tunable analog/RF integrated circuits

Tunable circuit has emerged as a promising methodology to address the grand challenge posed by process variations. Efficient high-dimensional performance modeling of tunable analog/RF circuits is an important yet challenging task. In this paper, we ...

research-article
Public Access
Efficient performance modeling of analog integrated circuits via kernel density based sparse regression

With the aggressive scaling of integrated circuit technology, analog performance modeling is facing enormous challenges due to high-dimensional variation space and expensive transistor-level simulation. In this paper, we propose a kernel density based ...

research-article
Public Access
Relevance vector and feature machine for statistical analog circuit characterization and built-in self-test optimization

Aiding design and test optimization of analog circuits requires accurate models that can reliably capture complex dependencies of circuit performances on essential circuit and device parameters, and test signatures. We present a novel Bayesian learning ...

research-article
Reliability-aware design to suppress aging

Due to aging, circuit reliability has become extraordinary challenging. Reliability-aware circuit design flows do virtually not exist and even research is in its infancy. In this paper, we propose to bring aging awareness to EDA tool flows based on so-...

research-article
Statistical fault injection for impact-evaluation of timing errors on application performance

This paper proposes a novel approach to modeling of gate level timing errors during high-level instruction set simulation. In contrast to conventional, purely random fault injection, our physically motivated approach directly relates to the underlying ...

research-article
Serial T0: approximate bus encoding for energy-efficient transmission of sensor signals

Off-chip serial buses are common in embedded systems, and due to the long physical lines, can contribute significantly to their energy consumption. However, these buses are often connected to analog sensors, whose data is inherently affected by noise ...

research-article
Public Access
Designing approximate circuits using clock overgating

Approximate computing is an emerging paradigm to improve the efficiency of computing systems by leveraging the intrinsic resilience of applications to their computations being executed in an approximate manner. Prior efforts on approximate hardware ...

research-article
Invited - Heterogeneous datacenters: options and opportunities

In this paper we present our ongoing study and deployment efforts for enabling FPGAs in datacenters. An important focus is to provide a quantitative evaluation of a wide range of heterogeneous system designs and integration options, from low-power field-...

research-article
Public Access
Invited - The case for embedded scalable platforms

Heterogeneous system-on-chip (SoC) architectures are emerging as a fundamental computing platform across a variety of domains, from mobile to cloud computing. Heterogeneity, however, increases design complexity in terms of hardware-software interactions,...

research-article
Public Access
A new learning method for inference accuracy, core occupation, and performance co-optimization on TrueNorth chip

IBM TrueNorth chip uses digital spikes to perform neuromorphic computing and achieves ultrahigh execution parallelism and power efficiency. However, in TrueNorth chip, low quantization resolution of the synaptic weights and spikes significantly limits ...

research-article
Dot-product engine for neuromorphic computing: programming 1T1M crossbar to accelerate matrix-vector multiplication

Vector-matrix multiplication dominates the computation time and energy for many workloads, particularly neural network algorithms and linear transforms (e.g, the Discrete Fourier Transform). Utilizing the natural current accumulation feature of ...

research-article
Perform-ML: performance optimized machine learning by platform and content aware customization

We propose Perform-ML, the first Machine Learning (ML) framework for analysis of massive and dense data which customizes the algorithm to the underlying platform for the purpose of achieving optimized resource efficiency. Perform-ML creates a ...

research-article
Public Access
Low-power approximate convolution computing unit with domain-wall motion based "spin-memristor" for image processing applications

Convolution serves as the basic computational primitive for various associative computing tasks ranging from edge detection to image matching. CMOS implementation of such computations entails significant bottlenecks in area and energy consumption due to ...

research-article
A framework for verification of SystemC TLM programs with model slicing: a case study

In this paper, we evaluate the effectiveness of model slicing to provide assurance about correctness of SystemC TLM programs. The need for such assurance is important since SystemC has become a de-facto standard for building systems with hardware/...

research-article
Design partitioning for large-scale equivalence checking and functional correction

Equivalence checking and functional correction are important steps ensuring design correctness. Direct verification of large industrial designs is challenging and often requires a divide-and-conquer approach. The 2015 CAD Contest at ICCAD poses the ...

research-article
Public Access
Probabilistic bug-masking analysis for post-silicon tests in microprocessor verification

Post-silicon validation has become essential in catching hard-to-detect, rarely-occurring bugs that have slipped through pre-silicon verification. Post-silicon validation flows, however, are challenged by limited signal observability, which impacts ...

research-article
Fault injection acceleration by simultaneous injection of non-interacting faults

Fault injection is the de facto standard for evaluating the sensitivity of digital systems to transient errors. Due to various masking effects only a very small portion of the injected faults lead to system-level failures, and hence, too many faults ...

research-article
Public Access
Privacy preserving localization for smart automotive systems

This paper presents the first provably secure localization method for smart automotive systems. Using this method, a lost car can compute its location with assistance from three nearby cars while the locations of all the participating cars including the ...

research-article
Integration of multi-sensor occupancy grids into automotive ECUs

Occupancy Grids (OGs) are a popular framework for robotic perception. They were recently adopted for performing multi-sensor fusion and environment mapping for autonomous vehicles. However, high computational requirements strongly hinder their ...

research-article
Formal reliability analysis of switched ethernet automotive networks under transient transmission errors

Modern cars integrate a huge number of functionalities with high bandwidth, real-time, and reliability requirements. Ethernet offers the possibility to satisfy these bandwidth requirements and enables the usage of temporal redundancy mechanisms to ...

research-article
Random modulo: a new processor cache design for real-time critical systems

Cache memories have a huge impact on software's worst-case execution time (WCET). While enabling the seamless use of caches is key to provide the increasing levels of (guaranteed) performance required by automotive software, caches complicate timing ...

research-article
Invited - Cross-layer modeling and optimization for electromigration induced reliability

In this paper, we propose a new approach for cross-layer electromigration (EM) induced reliability modeling and optimization at physics, system and datacenter levels. We consider a recently proposed physics-based electromigration (EM) reliability model ...

Index Terms

  1. Proceedings of the 53rd Annual Design Automation Conference
        Index terms have been assigned to the content through auto-classification.

        Recommendations

        Acceptance Rates

        Overall Acceptance Rate1,770of5,499submissions,32%
        YearSubmittedAcceptedRate
        DAC '0765915223%
        DAC '0362815224%
        DAC '0249114730%
        DAC '9945115434%
        DAC '9740013935%
        DAC '9637714238%
        DAC '9426010038%
        DAC '9042712529%
        DAC '8946515634%
        DAC '8840012531%
        DAC '8735113839%
        DAC '8630012441%
        DAC '8429011640%
        Overall5,4991,77032%