skip to main content
10.1145/2897937.2897977acmotherconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article
Best Paper

Accurate phase-level cross-platform power and performance estimation

Published:05 June 2016Publication History

ABSTRACT

Fast and accurate performance and power prediction is a key challenge in co-development of hardware and software. Traditional analytical or simulation-based approaches are often too inaccurate or slow. In this work, we propose LACross, a novel learning-based, analytical cross-platform prediction framework that provides fast and accurate estimation of time-varying software performance and power consumption on a target hardware platform. We employ a fine-grained phase-based approach, where the learning algorithm synthesizes analytical proxy models that predict the performance and power of the workload in each program phase from performance statistics obtained through hardware counter measurements on the host. Our learning approach relies on a one-time training phase using a target reference model or real hardware. We applied our approach to 35 benchmarks from SPEC 2006, SD-VBS and MiBench. Results show on average over 97% prediction accuracy for predicting both fine-grain performance and power traces at speeds of over 500 MIPS.

References

  1. ODROID U3 Development Board. http://www.hardkernel.com/main/products/prdt_info.php?g_code=g138745696275.Google ScholarGoogle Scholar
  2. ODROID XU3 Development Board. http://www.hardkernel.com/main/products/prdt_info.php?g_code=g140448267127.Google ScholarGoogle Scholar
  3. N. Binkert et al. The gem5 simulator. SIGARCH Computer Architecture News, 39(2):1--7, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. W. Bircher et al. Runtime identification of microprocessor energy saving opportunities. In ISLPED, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. O. Bringmann et al. The next generation of virtual prototyping: Ultra-fast yet accurate simulation of HW/SW systems. In DATE, 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. S. Browne et al. A portable programming interface for performance evaluation on modern processors. Int. J. High Perform. Comput. Appl., 14(3):189--204, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. T. E. Carlson et al. Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulation. In SC, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. D. Chiou et al. FPGA-accelerated simulation technologies (FAST): Fast, full-system, cycle-accurate simulators. In MICRO, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. M. Huang et al. A framework for dynamic energy efficiency and temperature management. In MICRO, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. E. Ipek and S. A. Mckee. Efficiently exploring architectural design spaces via predictive modeling. In ASPLOS, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. P. J. Joseph. A predictive performance model for superscalar processors. In MICRO, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. R. Kohavi. A study of cross-validation and bootstrap for accuracy estimation and model selection. In IJCAI, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. B. C. Lee et al. CPR: Composable performance regression for scalable multiprocessor models, 2008.Google ScholarGoogle Scholar
  14. S. Li et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In MICRO, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. P. S. Magnusson et al. Simics: A full system simulation platform. IEEE Computer, 35(2):50--58, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. J. C. McCullough et al. Evaluating the effectiveness of model-based power characterization. In USENIX, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. P. J. Mucci et al. PAPI: A portable interface to hardware performance counters. In DoD HPCMP, 1999.Google ScholarGoogle Scholar
  18. Y. Nesterov. Smooth minimization of non-smooth functions. Mathematical Programming, 103:127--152, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. D. B. Noonburg and J. P. Shen. Theoretical modeling of superscalar processor performance. In MICRO, 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. T. Sherwood, E. Perelman, and B. Calder. Basic block distribution analysis to find periodic behavior and simulation points in applications. In PACT, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. D. J. Sorin et al. Analytic evaluation of shared-memory systems with ILP processors. In ISCA, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. X. Zheng et al. Learning-based analytical cross-platform performance prediction. In SAMOS, 2015.Google ScholarGoogle Scholar
  1. Accurate phase-level cross-platform power and performance estimation

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Other conferences
        DAC '16: Proceedings of the 53rd Annual Design Automation Conference
        June 2016
        1048 pages
        ISBN:9781450342360
        DOI:10.1145/2897937

        Copyright © 2016 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 5 June 2016

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate1,770of5,499submissions,32%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader