skip to main content
10.1145/2897937.2898072acmotherconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article
Public Access

Probabilistic bug-masking analysis for post-silicon tests in microprocessor verification

Published:05 June 2016Publication History

ABSTRACT

Post-silicon validation has become essential in catching hard-to-detect, rarely-occurring bugs that have slipped through pre-silicon verification. Post-silicon validation flows, however, are challenged by limited signal observability, which impacts their ability of diagnosing and detecting bugs. Indeed, bug manifestations during the execution of constrained-random tests may be masked and be unobservable from the test's outputs. The ability to evaluate the bug-masking rate of a test provides great value in generating and/or selecting effective tests for high coverage regressions.

To this end, we propose an efficient, static bug-masking analysis solution, called BugMAPI. BugMAPI tracks the information flow in a test program, and it estimates the probability that bugs go undetected by the checking mechanisms in place in the post-silicon platform. To achieve this goal, we leverage static code analysis and a novel, lightweight, probability estimation algorithm. We evaluated BugMAPI on a range of industrial constrained-random tests and a range of bug injection models, and we found that it can estimate bug-masking rates with an accuracy of 77% in 3 orders-of-magnitude less time, compared to an ideal dynamic analysis solution.

References

  1. A. Adir et al. Threadmill: a post-silicon exerciser for multi-threaded processors. In Proc. DAC, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. N. Binkert et al. The gem5 simulator. ACM SIGARCH Computer Architecture News, 39(2), 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. D. Denning and P. Denning. Certification of programs for secure information flow. Communications of the ACM, 20(7), 1977. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. F. Fallah, S. Devadas, and K. Keutzer. OCCOM---efficient computation of observability-based code coverage metrics for functional verification. IEEE Trans. CAD, 20(8), 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. S. Feng et al. Shoestring: probabilistic soft error reliability on the cheap. In Proc. ASPLOS, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. H. Foster. Trends in functional verification: a 2014 industry study. In Proc. DAC, 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. N. Foutris et al. Accelerating microprocessor silicon validation by exposing ISA diversity. In Proc. MICRO, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. IBM. Power ISA Version 2.07B, 2015.Google ScholarGoogle Scholar
  9. D. Lin et al. Effective post-silicon validation of system-on-chips using quick error detection. IEEE Trans. CAD, 33(10), 2014.Google ScholarGoogle ScholarCross RefCross Ref
  10. P. Mishra and N. Dutt. Graph-based functional test program generation for pipelined processors. In Proc. DATE, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. A. Nahir et al. Post-silicon validation of the IBM POWER8 processor. In Proc. DAC, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. J. Newsome and D. Song. Dynamic taint analysis for automatic detection, analysis, and signature generation of exploits on commodity software. In Proc. NDSS, 2005.Google ScholarGoogle Scholar
  13. M. Rinard. Analysis of multithreaded programs. In Static Analysis, Lecture Notes in Computer Science. Springer Berlin Heidelberg, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. A. Sabelfeld and A. Myers. Language-based information-flow security. Selected Areas in Communications, IEEE Journal on, 21(1), 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. S. Thiruvathodi and D. Yeggina. A random instruction sequence generator for ARM based systems. In Proc. MTV, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. I. Wagner and V. Bertacco. Reversi: post-silicon validation system for modern microprocessors. In Proc. ICCD, 2008.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. Probabilistic bug-masking analysis for post-silicon tests in microprocessor verification

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Other conferences
        DAC '16: Proceedings of the 53rd Annual Design Automation Conference
        June 2016
        1048 pages
        ISBN:9781450342360
        DOI:10.1145/2897937

        Copyright © 2016 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 5 June 2016

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate1,770of5,499submissions,32%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader