skip to main content
10.1145/2897937.2905018acmotherconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article
Public Access

Invited - The case for embedded scalable platforms

Published:05 June 2016Publication History

ABSTRACT

Heterogeneous system-on-chip (SoC) architectures are emerging as a fundamental computing platform across a variety of domains, from mobile to cloud computing. Heterogeneity, however, increases design complexity in terms of hardware-software interactions, access to shared resources, and diminished regularity of the design. Embedded Scalable Platforms are a novel approach to SoC design and programming that addresses these design-complexity challenges by combining an architecture and a methodology. The flexible socketed architecture simplifies the integration of heterogeneous components by balancing regularity and specialization. The companion methodology raises the level of abstraction to system-level design, thus promoting closer collaboration among software programmers and hardware engineers. The architecture is supported by a scalable communication infrastructure. The methodology leverages compositional design-space exploration with high-level synthesis. The case for Embedded Scalable Platforms is made based on experiments on the development of various full-system prototypes and experience in teaching these concepts in a new graduate course.

References

  1. Andersen, T. M., et al. A feedforward controlled on-chip switched-capacitor voltage regulator delivering 10W in 32nm SOI CMOS. In ISSCC Digest of Technical Papers (Feb. 2015), pp. 22--26.Google ScholarGoogle ScholarCross RefCross Ref
  2. Arvind. Simulation is passé all future systems require FPGA prototyping. Keynote Address at Embedded System Week (ESWEEK) (Oct. 2014).Google ScholarGoogle Scholar
  3. Bahar, I., et al. "Scaling" the impact of EDA education --- preliminary findings from the CCC workshop series on extreme scale design automation. In Intl. Conf. on Microelectronic Systems Education (MSE) (June 2013), pp. 64--67.Google ScholarGoogle Scholar
  4. Barker, K., et al. PERFECT (Power Efficiency Revolution For Embedded Computing Technologies) Benchmark Suite Manual. PNNL and GTRI, Dec. 2013. http://hpc.pnnl.gov/perfect.Google ScholarGoogle Scholar
  5. Black, D. C., Donovan, J., Bunton, B., and Keist, A. System C: From the Ground Up, Second Edition. Springer, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Borkar, S., and Chen, A. The future of microprocessors. Communication of the ACM 54 (May 2011), 67--77. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Burton, E. A., et al. FIVR -- fully integrated voltage regulators on 4th generation Intel Core SoCs. In Applied Power Electronics Conference and Exposition (Mar. 2014), pp. 16--20.Google ScholarGoogle Scholar
  8. Carloni, L. P. From latency-insensitive design to communication-based system-level design. Proc. of the IEEE 103, 11 (Nov. 2015), 2133--2151.Google ScholarGoogle ScholarCross RefCross Ref
  9. Carloni, L. P., McMillan, K. L., and Sangiovanni-Vincentelli, A. L. Theory of latency-insensitive design. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems 20, 9 (Sept. 2001), 1059--1076. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Cota, E., et al. Accelerator memory reuse in the dark silicon era. Computer Architecture Letters 13, 1 (Jan-Jun 2014), 9--12. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Cota, E., et al. An analysis of accelerator coupling in heterogeneous architectures. In Proc. of the Design Automation Conf. (DAC) (June 2015), pp. 202:1--202:6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Cota, E., Mantovani, P., and Carloni, L. P. Exploiting private local memories to reduce the opportunity cost of accelerator integration. In Proc. of the Intl. Conf. on Supercomputing (ICS) (June 2016). Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Esmaeilzadeh, H., et al. Dark silicon and the end of multicore scaling. In Proc. of the Intl. Conf. on Computer Architecture ISCA) (June 2011), pp. 365--376. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Fingeroff, M. High-level synthesis blue book. Mentor Graphics Corp., 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Ghenassia, F. Transaction-Level Modeling with System C. Springer-Verlag, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Guglielmo, G. D., Pilato, C., and Carloni, L. P. A design methodology for compositional high-level synthesis of communication-centric SoCs. In Proc. of the Design Automation Conf. (DAC) (June 2014), pp. 128:1--128:6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Horowitz, M. Computing's energy problem (and what we can do about it). In ISSCC Digest of Technical Papers (Feb. 2014), pp. 10--14.Google ScholarGoogle Scholar
  18. IEEE. SystemC Standardization Working Group. 1666-2011 - IEEE standard for standard SystemC reference manual.Google ScholarGoogle Scholar
  19. Liu, H.-Y., and Carloni, L. P. On learning-based methods for design-space exploration with high-level synthesis. In Proc. of the Design Automation Conf. (DAC) (June 2013). Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Liu, H.-Y., Petracca, M., and Carloni, L. P. Compositional system-level design exploration with planning of high-level synthesis. In Proc. of the Conf. on Design, Automation and Test in Europe (DATE) (Mar. 2012), pp. 641--646. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Mair, H., et al. A highly integrated smartphone SoC featuring a 2.5GHz octa-core CPU with advanced high-performance and low-power techniques. In ISSCC Digest of Technical Papers (Feb. 2015), pp. 424--425.Google ScholarGoogle ScholarCross RefCross Ref
  22. Mantovani, P., et al. An FPGA-based infrastructure for fine-grained DVFS analysis in high-performance embedded systems. In Proc. of the Design Automation Conf. (DAC) (June 2016). Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Mantovani, P., Guglielmo, G. D., and Carloni, L. P. High-level synthesis of accelerators in embedded scalable platforms. In Proc. of the Asia and South Pacific Design Automation Conf. (ASPDAC) (Jan. 2016).Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Mochizuki, S., et al. 20nm high-K metal-gate heterogeneous 64b quad-core CPUs and hexa-core GPU for high-performance and energy-efficient mobile application processor. In ISSCC Digest of Technical Papers (Feb. 2016), pp. 78--79.Google ScholarGoogle Scholar
  25. Pilato, C., et al. System-level memory optimization for high-level synthesis of component-based SoCs. In Proc. of the Intl. Conf. on Hardware/Software Codesign and SystemSynthesis (CODES+ISSS) (Oct. 2014), pp. 18:1--18:10. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Pyo, J., et al. 20nm high-K metal-gate heterogeneous 64b quad-core CPUs and hexa-core GPU for high-performance and energy-efficient mobile application processor. In ISSCC Digest of Technical Papers (Feb. 2015), pp. 420--421.Google ScholarGoogle ScholarCross RefCross Ref
  27. Sangiovanni-Vincentelli, A. L. Quo vadis SLD: Reasoning about trends and challenges of system-level design. Proc. of the IEEE 95, 3 (Mar. 2007), 467--506.Google ScholarGoogle ScholarCross RefCross Ref
  28. Sanguinetti, J., Meredith, M., and Dart, S. Transaction-accurate interface scheduling in high-level synthesis. In ESLsyn Conference (2012), pp. 31--36.Google ScholarGoogle Scholar
  29. Sturcken, N., et al. A 2.5D integrated voltage regulator using coupled magnetic core inductors on silicon interposer delivering 10.8A/mm2. In ISSCC Digest of Technical Papers (Feb. 2012), pp. 400--402.Google ScholarGoogle Scholar
  30. Tien, K., et al. An 82%-efficient multiphase voltage-regulator 3D interposer with on-chip magnetic inductors. In Symp. on VLSI Circuits (June 2015), pp. C192--C193.Google ScholarGoogle Scholar
  31. Yoon, Y., Concer, N., and Carloni, L. P. Virtual channels and multiple physical networks: Two alternatives to improve NoC performance. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems 32, 12 (Dec. 2013), 1906--1919. Google ScholarGoogle ScholarDigital LibraryDigital Library

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Other conferences
    DAC '16: Proceedings of the 53rd Annual Design Automation Conference
    June 2016
    1048 pages
    ISBN:9781450342360
    DOI:10.1145/2897937

    Copyright © 2016 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 5 June 2016

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article

    Acceptance Rates

    Overall Acceptance Rate1,770of5,499submissions,32%

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader