skip to main content
10.1145/2897937.2898023acmotherconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Fault injection acceleration by simultaneous injection of non-interacting faults

Published:05 June 2016Publication History

ABSTRACT

Fault injection is the de facto standard for evaluating the sensitivity of digital systems to transient errors. Due to various masking effects only a very small portion of the injected faults lead to system-level failures, and hence, too many faults have to be injected for achieving statistically meaningful results. At the same time, since the majority of injected faults will be masked, lots of simulation cycles will be wasted for tracking each and every injected fault separately. In this paper, we propose an opportunistic acceleration technique which evaluates the impact of multiple non-interacting faults in one workload execution. In case no failure is observed, this technique skips the evaluation of those individual faults which leads to a significant speedup. The experimental results on the Leon3 processor show that our proposed technique shortens the fault injection runtime by two orders of magnitude.

References

  1. E. Ibe et al. Impact of scaling on neutron-induced soft error in SRAMs from a 250 nm to a 22 nm design rule. IEEE Transactions on Electron Devices, 57(7):1527--1538, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  2. A. Dixit and A. Wood. The impact of new technology on soft error rates. In International Reliability Physics Symposium, pages 5B--4, 2011.Google ScholarGoogle ScholarCross RefCross Ref
  3. M. Ebrahimi et al. Comprehensive analysis of sequential and combinational soft errors in an embedded processor. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2015.Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. J.-L. Autran and D. Munteanu. Soft Errors: From Particles to Circuits, volume 39. CRC Press, 2015.Google ScholarGoogle ScholarCross RefCross Ref
  5. K. Reick et al. Fault-tolerant design of the ibm power6 microprocessor. IEEE Micro, 28(2):30--38, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. C.-Y. Cher et al. Soft error resiliency characterization and improvement on ibm bluegene/q processor using accelerated proton irradiation. In International Test Conference, pages 1--6, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  7. R. Leveugle, A. Calvez, P. Maistri, and P. Vanhauwaert. Statistical fault injection: quantified error and confidence. In Design, Automation & Test in Europe Conference, pages 502--506, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. M. Ebrahimi et al. A fast, flexible, and easy-to-develop fpga-based fault injection technique. Microelectronics Reliability, 54(5):1000--1008, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  9. N. A. Harward, M. R. Gardiner, L. W. Hsiao, and M. J. Wirthlin. Estimating soft processor soft error sensitivity through fault injection. In Field-Programmable Custom Computing Machines (FCCM), pages 143--150, 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. S. Mirkhani, H. Cho, S. Mitra, and J. A. Abraham. Rethinking error injection for effective resilience. In Asia and South Pacific Design Automation Conference (ASP-DAC), pages 390--393, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  11. V. B. Kleeberger, D. Mueller-Gritschneder, and U. Schlichtmann. Technology-aware system failure analysis in the presence of soft errors by mixture importance sampling. In Defect and Fault Tolerance in VLSI and Nanotechnology Systems, pages 118--124, 2013.Google ScholarGoogle ScholarCross RefCross Ref
  12. M. Ebrahimi et al. Fault injection acceleration by architectural importance sampling. In International Conference on Hardware-Software Codesign and System Synthesis, pages 1--6, 2014.Google ScholarGoogle Scholar
  13. The Leon3 Processor User Manual, http://www.gaisler.com, 2015.Google ScholarGoogle Scholar
  14. M. R. Guthaus et al. Mibench: A free, commercially representative embedded benchmark suite. In IEEE International Workshop on Workload Characterization, pages 3--14, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. S. Mukherjee. Architecture design for soft errors. Morgan Kaufmann, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. M. Ebrahimi et al. Layout-based modeling and mitigation of multiple event transients. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2016.Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. D. Alexandrescu. A comprehensive soft error analysis methodology for SoCs/ASICs memory instances. In International On-Line Testing Symposium, pages 175--176, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. L. Berrojo et al. New techniques for speeding-up fault-injection campaigns. In Design, Automation and Test in Europe Conference, pages 847--852, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. P. Maistri and R. Leveugle. Towards automated fault pruning with petri nets. In International On-Line Testing Symposium, pages 41--46, 2009.Google ScholarGoogle ScholarCross RefCross Ref
  20. Vishwani D Agrawal, AVSS Prasad, and Madhusudan V Atre. Fault collapsing via functional dominance. In International Test Conference, pages 274--274, 2003.Google ScholarGoogle ScholarCross RefCross Ref
  21. A. Benso et al. Fault-list collapsing for fault-injection experiments. In Annual Reliability and Maintainability Symposium, pages 383--388. IEEE, 1998.Google ScholarGoogle Scholar
  22. M. Maniatakos, C. Tirumurti, A. Jas, and Y. Makris. Avf analysis acceleration via hierarchical fault pruning. In European Test Symposium (ETS), pages 87--92, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  1. Fault injection acceleration by simultaneous injection of non-interacting faults

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Other conferences
        DAC '16: Proceedings of the 53rd Annual Design Automation Conference
        June 2016
        1048 pages
        ISBN:9781450342360
        DOI:10.1145/2897937

        Copyright © 2016 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 5 June 2016

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate1,770of5,499submissions,32%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader